Part Number Hot Search : 
302U10A T373A N120C 2SB1300 606J05 DTV1500M SN75176 TSOP21
Product Description
Full Text Search
 

To Download CYP15G0401DXB-BGXC Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  cyp15g0401dxb cyv15g0401dxb quad hotlink ii? transceiver cypress semiconductor corporation ? 198 champion court ? san jose , ca 95134-1709 ? 408-943-2600 document #: 38-02002 rev. *n revised august 18, 2011 features second-generation hotlink ? technology ? compliant to multiple standards ? escon, dvb-asi, fibre channel and gigabit ethernet (ieee802.3z) ? cpri? compliant ? cyv15g0401dxb compliant to smpte 259m and smpte 292m ? 8 b/10 b encoded or 10-bit unencoded data quad channel transceiver operates from 195 to 1500 mbaud serial data rate ? aggregate throughput of 12 gb per second selectable parity check/generate selectable multi-channel bonding options ? four 8-bit channels ? two 16-bit channels ? one 32-bit channel ? n 32-bit channel support (inter-chip) skew alignment support for multiple bytes of offset selectable input/output clocking options multiframe? receive framer ? bit and byte alignment ? comma or full k28.5 detect ? single- or multi-byte framer for byte alignment ? low-latency option synchronous lvttl parallel interface optional elasticity buffer in receive path optional phase align buffer in transmit path internal phase-locked loops (plls) with no external pll components dual differential pecl-compatible serial inputs per channel ? internal dc-restoration dual differential pecl-compatible serial outputs per channel ? source matched for 50 transmission lines ? no external bias resistors required ? signaling-rate controlled edge-rates compatible with ? fiber-optic modules ? copper cables ? circuit board traces jtag boundary scan built-in self-test (bist) for at-speed link testing per-channel link quality indicator ? analog signal detect ? digital signal detect low power 2.5 w at 3.3 v typical single 3.3 v supply 256-ball thermally enhanced bga pb-free package option available 0.25 bicmos technology functional description the cyp(v)15g0401dxb [1] quad hotlink ii? transceiver is a point-to-point or point-to-multipoint communications building block allowing the transfer of data over high-speed serial links (optical fiber, balanced, and unbalanced copper transmission lines) at signaling speeds ranging from 195-to-1500 mbaud per serial link. figure 1. hotlink ii system connections note 1. cyv15g0401dxb refers to smpte 259m and smpte 292m compliant devic es. cyp15g0401dxb refers to devices not compliant to smpte 2 59m and smpte 292m pathological test requirements. system host serial links 10 10 10 10 10 10 10 10 system host 10 10 10 10 10 10 10 10 serial links serial links serial links backplane or cabled connections cyp(v)15g0401dxb cyp(v)15g0401dxb
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 2 of 55 contents cyp(v)15g0401dxb transceiver logic block diagram ........................................................ 4 transmit path block diagram ......................................... 5 receive path block diagram ........................................... 6 pin configuration (top view) ........................................... 7 pin configuration (bottom view) ...................................... 8 pin descriptions ............................................................... 9 cyp(v)15g0401dxb hotlink ii operation .................. 16 cyp(v)15g0401dxb transmit da ta path ................ 16 transmit modes ......................................................... 18 transmit bist ........................................................... 20 serial output drivers ................................................. 22 transmit pll clock multiplier .................................... 22 cyp(v)15g0401dxb receive data path ....................... 22 serial line receivers .......... ...................................... 22 signal detect/link fault ............................................ 23 clock/data recovery ................................................. 24 deserializer/framer ................................................... 24 receive bist operation ............................................ 25 receive elasticity buffer .... ........................................ 26 receive modes .......................................................... 26 power control ............................................................ 28 output bus ................................................................ 28 parity generation . .............. .............. .............. ........... 29 receive synchronization state machine when channel bonding is enabled 30 jtag support ............................................................ 33 maximum ratings ........................................................... 35 power-up requirements ............................................ 35 cyp(v)15g0401dxb dc elect rical characteristics over the operating range ............................................... 35 test loads and waveforms .......................................... 36 cyp(v)15g0401dxb ac characteristics over the operating range ............................................... 37 ordering information ...................................................... 51 ordering code definitions ..... .................................... 51 package diagram ........................................................... 52 acronyms ........................................................................ 52 document conventions ................................................ 52 document history page ................................................. 53 sales, solutions, and legal information ...................... 55 worldwide sales and design s upport ......... .............. 55 products .................................................................... 55 psoc solutions ......................................................... 55
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 3 of 55 the cyv15g0401dxb satisfies the smpte 259m and smpte 292m compliance as per the eg34-1999 pathological test requirements. the multiple channels in each device may be combined to allow transport of wide buses across significant distances with minimal concern for offsets in clock phase or link delay. each transmit channel accepts parallel characters in an input register, encodes each character for transport, and converts it to serial data. each receive channel accepts serial data and converts it to parallel data, decodes the data into characters, and presents these characters to an output register. figure 1 illustrates typical connections between independent host systems and corresponding cyp15g0401dxb parts. as a second-generation hotlink device, the cyp(v)15g0401dxb extends the hotlink family with enhanced levels of integration and faster data rates, while maintaining serial-link compatibility (data, command, and bist) with other hotlink devices. the transmit (tx) section of the cyp(v)15g0401dxb quad hotlink ii consists of four byte-wide channels that can be operated independently or bonded to form wider buses. each channel can accept either eight-bit data characters or pre-encoded 10-bit transmission characters. data characters are passed from the transmit input register to an embedded 8b/10b encoder to improve their serial transmission characteristics. these encoded characters are then serialized and output from dual positive ecl (pecl)-compatible differential transmission-line drivers at a bit-rate of either 10- or 20-times the input reference clock. the receive (rx) section of the cyp(v)15g0401dxb quad hotlink ii consists of four byte-wide channels that can be operated independently or synchronously bonded for greater bandwidth. each channel accepts a serial bit-stream from one of two pecl-compatible differential line receivers and, using a completely integrated pll clock synchronizer, recovers the timing information necessary for data reconstruction. each recovered serial stream is deserialized and framed into characters, 8b/10b decoded, and checked for transmission errors. recovered decoded characters are then written to an internal elasticity buffer, and presented to the destination host system. the integrated 8b/10b encoder/decoder may be bypassed for systems that present externally encoded or scrambled data at the parallel interface. for those systems using buses wider than a single byte, the four independent receive paths can be bonded together to allow synchronous delivery of data across a two-byte-wide (16-bit) path, or across all four bytes (32-bit). multiple cyp(v)15g0401dxb devices may be bonded together to provide synchronous transport of buses wider than 32 bits. the parallel i/o interface may be configured for numerous forms of clocking to provide the highest flexibility in system archi- tecture. in addition to clocking the transmit path, the receive interface may be configured to present data relative to a recovered clock or to a local reference clock. each transmit and receive channel contains an independent bist pattern generator and checker. this bist hardware allows at-speed testing of the high-speed serial data paths in each transmit and receive section, and across the interconnecting links. hotlink ii devices are ideal for a variety of applications where parallel interfaces can be replaced with high-speed, point-to-point serial links. some applications include interconnecting backplanes on switches, routers, servers and video transmission systems. the cyv15g0401dxb is verified by testing to be compliant to all the pathological test patterns documented in smpte eg34-1999, for both the smpte 259m and 292m signaling rates. the tests ensure that the receiver recovers data with no errors for the following patterns: 1. repetitions of 20 ones and 20 zeros. 2. single burst of 44 ones or 44 zeros. 3. repetitions of 19 ones followed by 1 zero or 19 zeros followed by 1 one.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 4 of 55 cyp(v)15g0401dxb transceiver logic block diagram serializer phase encoder 8b/10b decoder 8b/10b framer deserializer tx rx serializer encoder 8b/10b decoder 8b/10b framer deserializer tx rx serializer encoder 8b/10b decoder 8b/10b framer deserializer tx rx serializer encoder 8b/10b decoder 8b/10b framer deserializer tx rx txda[7:0] rxda[7:0] txdb[7:0] rxdb[7:0] txdc[7:0] rxdc[7:0] txdd[7:0] rxdd[7:0] outa1 outa2 ina1 ina2 outb1 outb2 inb1 inb2 outc1 outc2 inc1 inc2 outd1 outd2 ind1 ind2 align buffer phase align buffer phase align buffer phase align buffer elasticity buffer elasticity buffer elasticity buffer elasticity buffer txcta[1:0] rxsta[2:0] txctb[1:0] rxstb[2:0] txctc[1:0] rxstc[2:0] txctd[1:0] rxstd[2:0] x11 x11 x11 x10 x11 x10 x10 x10
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 5 of 55 txrate character-rate clock bit-rate clock hml txclka hml hml txclkb txclkc 8 txcta[1:0] txda[7:0] txopa input register 8 txctb[1:0] txdb[7:0] txopb input register 8 txctc[1:0] txdc[7:0] txopc input register txpera txperb 2 2 2 txperc hml txclkd 8 txctd[1:0] txdd[7:0] txopd input register 11 txperd phase-align buffer phase-align buffer phase-align buffer phase-align buffer scsel 11 11 12 parity check bist lfsr 8b/10b 12 11 parity check bist lfsr 8b/10b 12 12 parity check bist lfsr 8b/10b 12 11 parity check bist lfsr 8b/10b 12 11 shifter 10 10 shifter shifter 10 10 shifter outa1+ outa1? outa2+ outa2? txlba outb1+ outb1? outb2+ outb2? txlbb outc1+ outc1? outc2+ outc2? txlbc outd1+ outd1? outd2? txlbd character-rate clock spdsel txrst txmode[1:0] parctl parity control refclk+ refclk? transmit pll clock multiplier transmit mode txclko+ txclko? txcksel 2 transmit path block diagram bistle oele = internal signal bist enable latch output enable 4 8 latch boe[7:0] rbist[d:a] outd2+
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 6 of 55 ina1+ ina1? ina2+ ina2? insela txlba inb1+ inb1? inb2+ inb2? inselb txlbb inc1+ inc1? inc2+ inc2? inselc txlbc ind1+ ind1? ind2+ ind2? inseld txlbd character-rate clock clock & data recovery pll shifter clock & data recovery pll shifter clock & data recovery pll shifter clock & data recovery pll shifter lpen lfid lfic lfib lfia 8 rxstc[2:0] rxdc[7:0] rxopc 3 8 rxstb[2:0] rxdb[7:0] rxopb 3 8 rxstd[2:0] rxdd[7:0] rxopd 3 8 rxsta[2:0] rxda[7:0] rxopa 3 receive signal monitor receive signal monitor receive signal monitor receive signal monitor output register output register output register output register elasticity buffer framer rxclkd+ rxclkd? 10b/8b bist elasticity buffer 10b/8b bist framer elasticity buffer 10b/8b bist framer elasticity buffer 10b/8b bist framer parity control 2 rxclkc+ rxclkc? 2 rxclkb+ rxclkb? 2 rxclka+ rxclka? 2 rxrate framchar rfmode rfen rxmode[1:0] sdasel jtag boundary scan controller tdo tms tclk tdi clock select clock select clock select clock select bonding control bond_all bondst bond_inh rxcksel trstz 2 2 decmode master receive path block diagram = internal signal rbist[d:a] rx pll enable latch rxle boe[7:0]
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 7 of 55 pin configuration (top view) [2] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 a inc1- out c1- inc2- out c2- v cc ind1- out d1- gnd ind2- out d2- ina1- out a1- gnd ina2- out a2- v cc inb1- out b1- inb2- out b2- b inc1+ out c1+ inc2+ out c2+ v cc ind1+ out d1+ gnd ind2+ out d2+ ina1+ out a1+ gnd ina2+ out a2+ v cc inb1+ out b1+ inb2+ out b2+ c tdi tms inselc inselb v cc par ctl sda sel gnd boe[7] boe[5] boe[3] boe[1] gnd tx mode [0] rx mode [0] v cc tx rate rx rate lpen tdo d tclk trstz inseld insela v cc rf mode spd sel gnd boe[6] boe[4] boe[2] boe[0] gnd tx mode [1] rx mode [1] v cc bond inh rxle rfen mas ter e v cc v cc v cc v cc v cc v cc v cc v cc f txper c txop c txdc [0] rxck sel bistle rxstb [1] rxopb rxstb [0] g txdc [7] txck sel txdc [4] txdc [1] dec mode oele fram char rxdb [1] h gndgndgndgnd gnd gnd gnd gnd j txctc [1] txdc [5] txdc [2] txdc [3] rxstb [2] rxdb [0] rxdb [5] rxdb [2] k rxdc [2] rxclk c? txctc [0] lfic rxdb [3] rxdb [4] rxdb [7] rxclk b+ l rxdc [3] rxclk c+ txclk c txdc [6] rxdb [6] lfib rxclk b? txdb [6] m rxdc [4] rxdc [5] rxdc [7] rxdc [6] txctb [1] txctb [0] txdb [7] txclk b n gndgndgndgnd gnd gnd gnd gnd p rxdc [1] rxdc [0] rxstc [0] rxstc [1] txdb [5] txdb [4] txdb [3] txdb [2] r rxstc [2] rxop c txper d txop d txdb [1] txdb [0] txop b txper b t v cc v cc v cc v cc v cc v cc v cc v cc u txdd [0] txdd [1] txdd [2] txctd [1] v cc rxdd [2] rxdd [1] gnd rx opd bond _all ref clk- txda [1] gnd txda [4] txcta [0] v cc rxda [2] rxopa rxsta [2] rxsta [1] v txdd [3] txdd [4] txctd [0] rxdd [6] v cc rxdd [3] rxstd [0] gnd rxstd [2] bond st[0] ref clk+ bond st[1] gnd txda [3] txda [7] v cc rxda [7] rxda [3] rxda [0] rxsta [0] w txdd [5] txdd [7] lfid rxclk d? v cc rxdd [4] rxstd [1] gnd txclk o- txrst txopa scsel gnd txda [2] txda [6] v cc lfia rxclk a- rxda [4] rxda [1] y txdd [6] txclk d rxdd [7] rxclk d+ v cc rxdd [5] rxdd [0] gnd txclk o+ n/c txclk a txper a gnd txda [0] txda [5] v cc txcta [1] rxclk a+ rxda [6] rxda[ 5] note 2. n/c = do not connect
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 8 of 55 pin configuration (bottom view) [3] 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 out b2- inb2- out b1- inb1- v cc out a2- ina2- gnd out a1- ina1- out d2- ind2- gnd out d1- ind1- v cc out c2- inc2- out c1- inc1- a out b2+ inb2+ out b1+ inb1+ v cc out a2+ ina2+ gnd out a1+ ina1+ out d2+ ind2+ gnd out d1+ ind1+ v cc out c2+ inc2+ out c1+ inc1+ b tdo lpen rx rate tx rate v cc rx mode [0] tx mode [0] gnd boe[1] boe[3] boe[5] boe[7] gnd sda sel par ctl v cc inselb inselc tms tdi c mas ter rfen rxle bond inh v cc rx mode [1] tx mode [1] gnd boe[0] boe[2] boe[4] boe[6] gnd spd sel rf mode v cc insela inseld trstz tclk d v cc v cc v cc v cc v cc v cc v cc v cc e rxstb [0] rxop b rxstb [1] bistle rxck sel txdc [0] txop c txper c f rxdb [1] fram char oele dec mode txdc [1] txdc [4] txck sel txdc [7] g gnd gnd gnd gnd gnd gnd gnd gnd h rxdb [2] rxdb [5] rxdb [0] rxstb [2] txdc [3] txdc [2] txdc [5] txctc [1] j rxclk b+ rxdb [7] rxdb [4] rxdb [3] lfic txctc [0] rxclk c- rxdc [2] k txdb [6] rxclk b- lfib rxdb [6] txdc [6] txclk c rxclk c+ rxdc [3] l txclk b txdb [7] txctb [0] txctb [1] rxdc [6] rxdc [7] rxdc [5] rxdc [4] m gnd gnd gnd gnd gnd gnd gnd gnd n txdb [2] txdb [3] txdb [4] txdb [5] rxstc [1] rxstc [0] rxdc [0] rxdc [1] p txper b txop b txdb [0] txdb [1] txop d txper d rxop c rxstc [2] r v cc v cc v cc v cc v cc v cc v cc v cc t rxsta [1] rxsta [2] rxopa rxda [2] v cc txcta [0] txda [4] gnd txda [1] ref clk- bond _all rxop d gnd rxdd [1] rxdd [2] v cc txctd [1] txdd [2] txdd [1] txdd [0] u rxsta [0] rxda [0] rxda [3] rxda [7] v cc txda [7] txda [3] gnd bond st[1] ref clk+ bond st[0] rxstd [2] gnd rxstd [0] rxdd [3] v cc rxdd [6] txctd [0] txdd [4] txdd [3] v rxda [1] rxda [4] rxclk a- lfia v cc txda [6] txda [2] gnd scsel txop a txrst txclk o- gnd rxstd [1] rxdd [4] v cc rxclk d? lfid txdd [7] txdd [5] w rxda [5] rxda [6] rxclk a+ txcta [1] v cc txda [5] txda [0] gnd txper a txclk a n/c txclk o+ gnd rxdd [0] rxdd [5] v cc rxclk d+ rxdd [7] txclk d txdd [6] y note 3. n/c = do not connect
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 9 of 55 pin descriptions cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description transmit path data signals txpera txperb txperc txperd lvttl output, changes relative to refclk [4] transmit path parity error . active high. asserted (high) if parity checking is enabled and a parity error is detected at the encoder. this output is high for one transmit character clock period to indicate det ection of a parity error in the character presented to the encoder. if a parity error is detected, the character in error is replaced with a c0.7 character to force a corresponding bad-character detectio n at the remote end of the link. this replacement takes place regardless of the encoded/non-encoded state of the interface. when bist is enabled for the specific tran smit channel, bist progress is presented on these outputs. once every 511 character times (plus a 16-character word sync sequence when the receive channels are clocked by a common clock, i.e., rxcksel = low or high), the associated txperx signal will pulse high for one transmit-character clock period (if rxcksel= mid) or seventeen transmit- character clock periods (if rxcksel = low or high and encoder is enabled) to indicate a complete pass through the bi st sequence. theref ore, in this ca se txperx signal will pulse high for one transmit-character clock period. these outputs also provide indication of a transmit phase-align buffer underflow or overflow. when the transmit phase- align buffers are enabled (txcksel low, or txcksel = low and txrate = high), if an underflow or overflow condition is detected, txperx for the channel in error is asserted and remains asserted until either an atomic word sync s equence is transmitted or txrst is sampled low to re-center the transmit phase-align buffers. txcta[1:0] txctb[1:0] txctc[1:0] txctd[1:0] lvttl input, synchronous, sampled by the selected txclkx or refclk [4] transmit control . these inputs are captured on t he rising edge of the transmit interface clock as selected by txcksel, an d are passed to the encoder or transmit shifter. they identify how the associated tx dx[7:0] characters are interpreted. when the encoder is bypassed, these inputs are interpreted as data bits of 10-bit input character. when the encoder is enabled, these inputs determine if the txdx[7:0] character is encoded as data, a special character code, a k28.5 fill character or a word sync sequence. see ta b l e 1 for details. txda[7:0] txdb[7:0] txdc[7:0] txdd[7:0] lvttl input, synchronous, sampled by the selected txclkx or refclk [4] transmit data inputs . these inputs are captured on the rising edge of the transmit interface clock as selected by txcksel and passed to the encoder or transmit shifter. when the encoder is enabled (txmode[1:0] low), txdx[7:0] specify the specific data or command character to be sent. when the encoder is bypassed, these inputs are interpreted as data bits of the 10-bit input character. see ta b l e 1 for details. txopa txopb txopc txopd lvttl input, synchronous, internal pull-up, sampled by the respective txclkx or refclk [4] transmit path odd parity . when parity checking is enabled (parctl low), the parity captured at these inputs is xor ed with the data on the associated txdx bus (and sometimes txct[1:0]) to verify the integrity of the captured character. see ta b l e 2 for details. scsel lvttl input, synchronous, internal pull-down, sampled by txclka or refclk [4] special character select . used in some transmit modes along with txctx[1:0] to encode special characters or to initiate a word sync sequence. when the transmit paths are configured for independent input clocks (txcksel = mid), scsel is captured relati ve to txclka . note 4. when refclk is configured for half-rate operation (txrate = high), these inputs are sampled (or the outputs change) relative to both the rising and falling edges of refclk.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 10 of 55 txrst lvttl input, asynchronous, internal pull-up, sampled by refclk [4] transmit clock phase reset . active low. when sampled low, the transmit phase-align buffers are allowed to adjust their data-transfer timing (relative to the selected input clock) to allow clean transfer of data from the input register to the encoder or transmit shifter. when txrst is sampled high, the internal phase relationship between the associated txclkx and the internal character-rate clock is fixed and the device operates normally. when configured for half-rate refclk sampli ng of the transmit character stream (txcksel = low and txrate = high), assertion of txrst is only used to clear phase-align buffer faults caused by highly asymmetric refclk periods or refclks with excessive cycle-to-cycle jitter. during this alignm ent period, one or more characters may be added to or lost from all the associated transmit paths as the transmit phase-align buffers are adjusted. txrst must be sampled low by a minimum of two consecutive rising edges re fclk to ensure the reset operation is initiated correctly on all ch annels. this inpu t is ignored when both txcksel and txrate are low, since the phase align buffer is bypassed. in all other configurations, txrst should be asserted during device initia lization to ensure proper operation of the phase-align buffer. txrst should be asserted after the presence of a valid txclkx and after allowing enough time for the txpll to lock to the reference clock (as specified by parameter t txlock ). transmit path clock and clock control txcksel three-level select [5] , static control input transmit clock select . selects the clock source, used to write data into the transmit input register of the transmit channel(s). when low, refclk [4] is used as the input register clock for txdx[7:0] and tx ctx[1:0] of all channels. when mid, txclkx is used as the input register clo ck for txdx[7:0] and txctx[1:0]. when high, txclka is used as the input register cl ock for txdx[7:0] and txctx[1:0] of all channels. txclko lvttl output transmit clock output . this true and complement output clock is synthesized by the transmit pll and is synchronous to the internal transmit character clock. it has the same frequency as refclk (when tx rate = low), or twice the frequency of refclk (when txrate = high). this output clock has no direct phase relationship to refclk. txrate lvttl input, static control input, internal pull-down transmit pll clock rate select . when txrate = high, the transmit pll multi- plies refclk by 20 to generate the serial bit-rate clock. when txrate = low, the transmit pll multiples refclk by 10 to g enerate the serial bit-rate clock. see ta b l e 11 for a list of operating serial rates. when refclk is selected to clock the rece ive parallel interfaces (rxcksel = low), the txrate input also determines if the clocks on the rxclka and rxclkc outputs are full or half-rate. when txra te = high (refclk is half-rate), the rxclka and rxclkc output clocks ar e also half-rate cl ocks and follow the frequency and duty cycle of the refclk input. when txrate = low (refclk is full-rate), the rxclka and rxclkc output clocks are full-rate clocks and follow the frequency and duty cycle of the refclk input. when txcksel = mid or high (txclkx or txclka selected to clock input register), configuring txrate = high (h alf-rate refclk) is an invalid mode of operation. txclka txclkb txclkc txclkd lvttl clock input, internal pull-down transmit path input clocks . these clocks must be frequency-coherent to txclko , but may be offset in phase. the internal operating phase of each input clock (relative to reflck or txclko ) is adjusted when txrst = low and locked when txrst = high. note 5. three-level select inputs are used for static configuration. they are ternary (not binary) i nputs that make use of non-standa rd logic levels of low, mid, and high. the low level is usually impl emented by direct connection to v ss (ground). the high level is usually implemented by direct connection to v cc . when not connected or allowed to float, a three-level select input will self-bias to the mid level. pin descriptions (continued) cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 11 of 55 transmit path mode control txmode[1:0] three-level select [5] static control inputs transmit operating mode . these inputs are interpreted to select one of nine operating modes of the transmit path. see table 3 for a list of operating modes. receive path data signals rxda[7:0] rxdb[7:0] rxdc[7:0] rxdd[7:0] lvttl output, synchronous to the selected rxclkx output (or refclk input [4] when rxcksel = low) parallel data output . these outputs change following the rising edge of the selected receive interface clock. when the decoder is enabled (decmode = hi gh or mid), these outputs represent either received data or special characters. the status of the received data is repre- sented by the values of rxstx[2:0]. when the decoder is bypassed (decmode = low), rxdx[7:0] become the higher order bits of the 10-bit received character. see table 18 for details. rxsta[2:0] rxstb[2:0] rxstc[2:0] rxstd[2:0] lvttl output, synchronous to the selected rxclkx output (or refclk input [4] when rxcksel = low) parallel status output . these outputs change following the rising edge of the selected receive interface clock. when the decoder is bypassed (decmode = low), rxstx[1:0] become the two low-order bits of the 10-bit received charac ter, while rxstx[2] = high indicates the presence of a comma character in the output register. see ta b l e 1 8 for details. when the decoder is enabled (decmode = high or mid), rxstx[2:0] provide status of the received signal. see table 20 , 21 and 22 for a list of receive character status. rxopa rxopb rxopc rxopd three-state, lvttl output, synchronous to the selected rxclkx output (or refclk input [4] when rxcksel = low) receive path odd parity . when parity generation is enabled (parctl low), the parity output at these pins is valid for the data on the associated rxdx bus bits. when parity generation is disabled (parctl = low) these output dr ivers are disabled (high-z). receive path clock and clock control rxrate lvttl input, static control input, internal pull-down receive clock rate select . when low, the rxclkx recovered clock outputs are complementary clocks operating at the re covered character ra te. data for the associated receive channels should be latched on the rising edge of rxclkx+ or falling edge of rxclkx?. when high, the rxclkx recovered clock outputs are complementary clocks operating at half the character rate. data for the associated receive channels should be latched alternately on the rising edge of rxclkx+ and rxclkx?. when refclk is selected to clock the output registers (rxckselx = low), rxratex is not interpreted. the rxclka and rxclkc output clocks will follow the frequency and duty cycle of refclk. framchar three-level select [5] , static control input framing character select . used to select the character or portion of a character used for character framing of the received data streams. when mid, the framer looks for both positive and negative disparity vers ions of the eight-bit comma character. when high, the framer looks for both positive and negative disparity versions of the k28.5 character. configuring framchar to low is reserved for component test. rfen lvttl input, asynchronous, internal pull-down reframe enable for all channels . active high. when high, the framers in all four channels are enabled to frame per the presently enabled framing mode as selected by rfmode and selected framing c haracter as selected by framchar. rxmode[1:0] three-level select [5] , static control inputs receive operating mode . these inputs are interpreted to select one of nine operating modes of the receive path. see ta b l e 1 4 for details. pin descriptions (continued) cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 12 of 55 rxclka rxclkb rxclkc rxclkd three-state, lvttl output clock or static control input receive character clock output or clock select input . when configured such that all output data paths are clocked by the recovered clock (rxc ksel = mid), these true and complement clocks are the receive in terface clocks which ar e used to control timing of output data (rxdx[7:0], rxstx[2: 0] and rxopx). these clocks are output continuously at either the dual-character rate (1/20 th the serial bit-rate) or character rate (1/10 th the serial bit-rate) of the data being received, as selected by rxrate. when configured such that all output data paths are clocked by refclk instead of a recovered clock (rxcksel = low), the rxclka and rxclkc output drivers present a buffered and delayed form of refclk. rxclka and rxclkc are buffered forms of refclk that are slightly different in phase. this phase difference allows the user to select the optimal setup/hold timing for their specific interface. when rxcksel = low and quad channel bonding is enabled, rxclkb+ and rxclkd+ are static control inputs used to select the master channel for bonding and status control. when rxcksel = high and quad-channel bondi ng is enabled, one of the recovered clocks from channels a, b, c or d can be selected to clock the bonded output data. the selection of the recovered clock is made by rxclkb+ and rxclkd+ which act as static control inputs in this mode. both rxclka and rxclkc output buffered forms of the recovered clock selected from receive channel a, b, c, or d. see ta b l e 1 5 for details. when rxcksel = high and dual-channel bondi ng is enabled, one of the recovered clocks from channels a or b is selected to present bonded data from channels a and b, and one of the recovered clocks from c hannels c or d is selected to present bonded data from channels c and d. rxclka output the recovered clo ck from either receive channel a or receive channel b as selected by rxclkb+ to clock the bonded output data from channels a and b, and rxclkc output the recovered clock from either receive channel c or receive channel d as selected by rxclkd+ to the clock the bonded output data from channels c and d. see table 16 for details. rxcksel three-level select [5] , static control input receive clock mode . selects the receive clock source used to transfer data to the output registers. when low, all four output registers are clocked by refclk. rxclkb and rxclkd outputs are disabled (high-z), and rxclka and rxclkc present buffered and delayed forms of refclk. this clocking mode is required for channel bonding across multiple devices. when mid, each rxclkx output follows the recovere d clock for the respective channel, as selected by rxrate. when the 10b/8b decoder and elasticity buffer are bypassed (decmode = low), rxcksel must be mid. when high and channel bonding is enabled in dual-channel mode (rx modes 3 and 5), rxclka outputs the recovered clock from either receive channel a or b as selected by rxclkb+, and rxclkc outputs the recovered clock from either receive channel c or d as selected by rxclkd+. these output clocks may operate at the character-rate or half the charac ter-rate as selected by rxrate. when high and channel bonding is enabled in quad channel mode (rx modes 6 and 8), or if the receive channels are operated in independent mode (rx modes 0 and 2), rxclka and rxclkc output the recovered clock from receive channel a, b, c, or d, as selected by rxclkb+ and rxclkd +. this output clock may operate at the character-rate or half the charac ter-rate as selected by rxrate. pin descriptions (continued) cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 13 of 55 decmode three-level select [5] , static control input decoder mode select . this input selects the behavior of the decoder block. when low, the decoder is bypassed and raw 10-bi t characters are passed to the output register. when the decoder is bypassed, rxcksel must be mid. when mid, the decoder is enabled and the cypress decoder table for special code characters is used. when high, the decoder is enabled and the alternate decoder table for special code characters is used. see table 27 for a list of the special codes supported in both encoded modes. rfmode three-level select [5] , static control input reframe mode select . used to select the type of ch aracter framing used to adjust the character boundaries (based on detecti on of one or more framing characters in the received serial bit stream). this signal operates in conjunction with the presently enabled channel bonding mode, and the ty pe of framing character selected. when low, the low-latency framer is select ed. this will frame on each occurrence of the selected framing character(s) in the received data stream. this mode of framing stretches the recovered charac ter-rate clock for one or mult iple cycles to align that clock with the recovered data. when mid, the cypress-mode multi-byte parall el framer is selected. this requires a pair of the selected framing character(s), on identical 10-bit boundaries, within a span of 50 bits, before the character boundarie s are adjusted. the recovered character clock remains in the same phase regardless of character offset. when high, the alternate mode multi-byte pa rallel framer is selected. this requires detection of the selected framing character(s ) of the allowed disparities in the received serial bit stream, on identical 10-bit boundarie s, on four directly adjacent characters. the recovered character clock remains in the same phase regardless of character offset. device control signals parctl three-level select [5] , static control input parity check/generate control . used to control the different parity check and generate functions. when low, parity che cking is disabled, and the rxopx outputs are all disabled (high-z). when mid, a nd the 8b/10b encoder and decoder are enabled (txmode[1] low, decmode low), txdx[7:0] inputs are checked (along with txopx) for valid odd parity, and odd parity is generated for the rxdx[7:0] outputs and presented on rxop x. when the encoder and decoder are disabled (txmode[1] = low, decmode = low), thetxdx[7:0] and txctx[1:0] inputs are checked (along with txopx) for valid odd parity, and odd parity is generated for the rxdx[7:0] and rxstx[1:0] outputs and pr esented on rxopx. when high, parity checking and generation are enabled. the txdx[7:0] and txctx[1:0] inputs are checked (along with txopx) for valid odd parity, and odd parity is generated for the rxdx[7:0] and rxstx[2: 0] outputs and pres ented on rxopx. see ta b l e 2 and 19 for details. spdsel three-level select [5] static control input serial rate select . this input specifies the operating bit-rate range of both transmit and receive plls. low = 195?400 mbaud, mid = 400?800 mbaud, high = 800?1500 mbaud. when spdsel is low, setting txrate = high (half-rate reference clock) is invalid. trstz lvttl input, internal pull-up device reset . active low. initializes all state machines and counters in the device. when sampled low by the rising edge of refclk , this input resets the internal state machines and sets the elasticity bu ffer pointers to a nominal offset. when the reset is removed (trstz sampled high by refclk ), the status and data outputs will become deterministic in less than 16 refclk cycles. the bistle, oele, and rxle latches are reset by trstz . if the elasticity buffer or the phase-align buffer are used, trstz should be applied after power up to initialize the internal pointers into these memory arrays. pin descriptions (continued) cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 14 of 55 refclk differential lvpecl or single-ended lvttl input clock reference clock . this clock input is used as the timing reference for the transmit pll. it is also used as the centering fr equency of the range controller block of the receive cdr plls.this input clock may also be selected to clock the transmit and receive parallel interfaces. when driven by a single-ended lvcmos or lvttl clock source, connect the clock source to either the true or complement refclk input, and leave the alternate refclk input open (fl oating). when driven by an lvpecl clock source, the clock must be a differential clock, using both inputs. when txcksel = low, refclk is also used as the clock for th e parallel transmit data (input) interface. when rxcksel = low, the elasticity buffer is enabled and refclk is used as the clock for the parallel receive data (output) interface. if the elasticity buffer is used, framing c haracters will be inserted or deleted to/from the data stream to compens ate for frequency differences between the reference clock and recovered clock. when an addition happens, a k28.5 will be appended immedi- ately after a framing is detected in the elasticity buffer. when deletion happens, a framing character will be removed from the dat a stream when detected in the elasticity buffer. analog i/o and control outa1 outb1 outc1 outd1 cml differential output primary differential serial data outputs . these pecl-compatible cml outputs (+3.3 v referenced) are capable of driving terminated transmission lines or standard fiber-optic transmitter modules. outa2 outb2 outc2 outd2 cml differential output secondary differential serial data outputs . these pecl-compatible cml outputs (+3.3 v referenced) are capable of driving terminated transmission lines or standard fiber-optic transmitter modules. ina1 inb1 inc1 ind1 lvpecl differential input primary differential serial data inputs . these inputs accept the serial data stream for deserialization and decoding. the inx1 serial streams are pa ssed to the receiver clock and data recovery (cdr) circuits to extract the data content when inselx = high. ina2 inb2 inc2 ind2 lvpecl differential input secondary differential serial data inputs . these inputs accept the serial data stream for deserialization and decoding. the inx2 serial streams are passed to the receiver clock and data recovery (cdr) circuits to extract the data content when inselx = low. insela inselb inselc inseld lvttl input, asynchronous receive input selector . determines which external serial bit stream is passed to the receiver clock and data recovery circuit. when high, the inx1 input is selected. when low, the inx2 input is selected. sdasel three-level select [5] static configuration input signal detect amplitude level select . allows selection of one of three predefined amplitude trip points for a valid signal indication, as listed in ta b l e 1 2 . lpen lvttl input, asynchronous, internal pull-down all-port loop-back enable . active high. when asserted (high), the transmit serial data from each channel is internally routed to the associated receiver clock and data recovery (car) circuit. all enabled serial drivers are forced to differential logic ?1.? all serial data inputs are ignored. oele lvttl input, asynchronous, internal pull-up serial driver output enable latch enable . active high. when oele = high, the signals on the boe[7:0] inputs directly control the outxy differential drivers. when the boe[x] input is high, the associated outxy differential driver is enabled. when the boe[x] input is lo w, the associated outxy differential driver is powered down. the specific mapping of boe[7:0] signals to transmit output enables is listed in ta b l e 1 0 . when oele returns low, the last valu es present on boe[7:0] are captured in the internal output enable la tch. if the device is reset (trstz is sampled low), the latch is reset to disable all outputs. pin descriptions (continued) cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 15 of 55 bistle lvttl input, asynchronous, internal pull-up transmit and receive bist latch enable . active high. when bistle = high, the signals on the boe[7:0] inputs directly cont rol the transmit and receive bist enables. when the boe[x] input is low, the asso ciated transmit or receive channel is configured to generate or compare the bist sequence respectively. when the boe[x] input is high, the associated transmit or receive channel is configured for normal data transmission or reception. the specific m apping of boe[7:0] si gnals to transmit and receive bist enables is listed in ta b l e 1 0 . when bistle returns low, the last values present on boe[7:0] are captured in the internal bist enable latch. when the latch is closed, if the device is reset (trstz is sampled low), the latch is reset to disable bist on all transmit and receive channels. rxle lvttl input, asynchronous, internal pull-up receive channel power-control latch enable . active high. when rxle = high, the signals on the boe[ 7:0] inputs directly control the power enables for the receive plls and analog circuitry. when the boe[ 7:0] input is high, the associated receive channel a through d pll and analog circuitr y are active. when the boe[7:0] input is low, the associated receive channel a through d pll and analog circuitry are powered down. the specific mapping of boe[ 7:0] signals to the associated receive channel enables is listed in table 10 . when rxle returns low, the last values present on boe[7:0] are captured in the internal rx pll enable latch. when the device is reset (trstz = low), the latch is reset to disable all receive channels. boe[7:0] lvttl input, asynchronous, internal pull-up bist, serial output, and receive channel enables . these inputs are passed to and through the output enable latch when oe le is high, and captured in this latch when oele returns low. these inputs are passed to and through the bist enable latch when bistle is high, and captured in this latch when bistle returns low. these inputs are passed to and through the receive channel enable latch when rxle is high, and captured in this latch when rxle returns low. lfia lfib lfic lfid lvttl output, asynchronous link fault indication output . active low. lfix is the logical or of four internal conditions: 1. received serial data frequency outside expected range 2. analog amplitude below expected levels 3. transition density lower than expected 4. receive channel disabled. bonding control bondst[1:0] bidirectional open drain, internal pull-up bonding status . these signals are only used when multiple devices are bonded together. they communicate t he status of elasticity buffer management events from master device of the bonding domain to the slave devices of the same bonding domain. these outputs change at the same c haracter rate as the receive output data buses, but are connected only to all the slave cyp(v)15g0401dxb devices. when master = low, these are output signals and present the elasticity buffer status from the selected master receive channel of the device configured as the master. receive master channel selection is performed using the rxclkb+ and rxclkd+ inputs. the bondst[1:0] outputs of the master device must be connected to bondst[1:0] inputs of all the slave devices in the bonding domain. these status outputs indicate one of four possible conditions, on a synchronous basis, to the slave devices. these conditions are: 00?reserved 01?add one k28.5 immediately following the next framing character received 10?delete next framing character received 11?normal data. these outputs are driven only when the device is configured as a master, all four channels are bonded together, and the receive parallel interface is clocked by refclk . pin descriptions (continued) cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 16 of 55 cyp(v)15g0401dxb hotlink ii operation the cyp(v)15g0401dxb is a highly configurable device designed to support reliable transfer of large quantities of data, using high-speed serial links, from one or multiple sources to one or multiple destinations. this device supports four single-byte or single-character channels that may be combined to support transfer of wider buses. cyp(v)15g0401dxb transmit data path operating modes the transmit path of the cyp(v)15g0401dxb supports four character-wide data paths. these data paths are used in multiple operating modes as controlled by the txmode[1:0] inputs. input register the bits in the input register fo r each channel support different assignments, based on if the character is unencoded, encoded with two control bits, or encoded with three control bits. these assignments are shown in ta b l e 1 . each input register captures a minimum of eight data bits and two control bits on each input clock cycle. when the encoder is bypassed, the txctx[1:0] control bits, are part of t he preencoded 10-bit character. when the encoder is enabled (txmode[1] low), the txctx[1:0] bits are interpre ted along with the associated txdx[7:0] character to generate the specific 10-bit transmission character. when txmode[0] high, an additional special character select (scsel) input is also captured and interpreted. this scsel input is used to modify the encoding of the associated characters. when the transmit input registers are clocked by a common clock (txclka or refclk ), this scsel input can be changed on a clock-by-clock basis and affects all four channels. when operated with a separate input clock on each transmit channel, this scsel input is sampled synchronous to txclka . while the value on scsel still affects all channels, it is interpreted when the character containing it is read from the transmit phase-align buffer (where all four paths are internally clocked synchronously). master lvttl input, static configuration input, internal pull-down master device select . when low, the present device is configured as the master, and bondst[1:0] outputs are driven. when high, the present dev ice is configured as a slave, and bondst[1:0] are inputs. master is only interpreted when configured for quad channel bonding, and the receive parallel interface is clocked by refclk . bond_all bidirectional open drain, internal pull-up all channels bonded indicator . active high, wired and. bond_all pins from all cyp(v)15g0401dxb devices in the same bonding domain must be wired together. after bonding resolution is completed and when high, all receive channels have detected valid framing. this output is low during the bonding resolution process. this output is driven only when configured for four channel bonding, and the receive parallel interface is clocked by refclk . bond_inh lvttl input, static configuration input, internal pull-up parallel bond inhibit . active low. when asserted (low), this signal inhibits the adjustment of character offsets in all re ceive channels if the bonding sequence has not been detected in all bonded channels. when high, all channels that have detected the bonding sequence are allowed to align their receive elasticity buffer pipelines. for any channels to bond, the se lected master channel must be a member of the group. when multiple device s are used together, the bond_inh input on all parts must be configured the same. jtag interface tms lvttl input, internal pull-up test mode select . used to control access to the jtag test modes. if maintained high for 5 tclk cycles, the jtag test controller is reset. the tap contro ller is also reset automatically upon application of power to the device. tclk lvttl input, internal pull-down jtag test clock tdo three-state lvttl output test data out . jtag data output buffer which is high-z while jtag test mode is not selected. tdi lvttl input, internal pull-up test data in . jtag data input port. power v cc +3.3 v power gnd signal and power ground for all internal circuits . pin descriptions (continued) cyp(v)15g0401dxb quad hotlink ii transceiver pin name i/o characteristics signal description
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 17 of 55 phase-align buffer data from the input registers ar e passed either to the encoder or to the associated phase-alig n buffer. when the transmit paths are operated synchronous to refclk (txcksel = low and txrate = low), the phase-align buffers are bypassed and data is passed directly to the parity check and encoder blocks to reduce latency. when an input-register clock with an uncontrolled phase relationship to refclk is selected (txcksel low) or if data is captured on both edges of refclk (txrate = high), the phase-align buffers are enabled. these buffers are used to absorb clock phase differences between the presently selected input clock and the internal character clock. initialization of the phase-align buffers takes place when the txrst input is sampled low by two consecutive rising edges of refclk. when txrst is returned high, the present input clock phase relative to refclk is set. txrst is an asynchronous input, but is sampled internally to syn chronize it to the internal transmit path state machines. once set, the input clocks are allowed to skew in time up to half a character period in either direction relative to refclk; i.e., 180. this time shift allows the delay paths of the character clocks (relative to refclk) to change due to operating voltage and temperature, while not af fecting the design operation. if the phase offset, between the in itialized location of the input clock and refclk , exceeds the skew handling capabilities of the phase-align buffer, an error is reported on the associated txperx output. this outpu t indicates a continu ous error until the phase-align buffer is reset. while the error remains active, the transmitter for the associated channel will output a continuous c0.7 character to indicate to t he remote receiver that an error condition is present in the link. in specific transmit mo des, it is also po ssible to reset the phase-align buffers individually and with minimal disruption of the serial data stream. when the tr ansmit interface is configured for generation of atomic word sync sequences (txmode[1] = mid) and a phase-align buffer error is present, the transmission of a word sync sequence will re-center the phase-align buffer and clear the error condition. [7] parity support in addition to the ten data and control bits that are captured at each transmit input register, a txopx input is also available on each channel. this allows the cyp(v)15g0401dxb to support odd parity checking for each channel. parity checking is available for all operating modes (including encoder bypass). the specific mode of parity checking is controlled by the parctl input, and operates per ta b l e 2 . when parctl is mid (open) and the encoders are enabled (txmode[1] low), only the txdx[7:0] data bits are checked for odd parity along with the associated txopx bit. when parctl = high with the encoder enabled (or mid with the encoder bypassed), the txdx[7 :0] and txctx[1:0] inputs are checked for odd parity along with the associated txopx bit. when parctl = low, parity checking is disabled. when parity checking and the encoder are both enabled (txmode[1] low), the detection of a parity error causes a c0.7 character of proper disparity to be passed to the transmit shifter. when the encoder is bypassed (txmode[1] = low, low), detection of a parity e rror causes a positive disparity version of a c0.7 transmission character to be passed to the transmit shifter. table 1. input register bit assignments [6] signal name unencoded encoded 2-bit control 3-bit control txdx[0] (lsb) dinx[0] txdx[0] txdx[0] txdx[1] dinx[1] txdx[1] txdx[1] txdx[2] dinx[2] txdx[2] txdx[2] txdx[3] dinx[3] txdx[3] txdx[3] txdx[4] dinx[4] txdx[4] txdx[4] txdx[5] dinx[5] txdx[5] txdx[5] txdx[6] dinx[6] txdx[6] txdx[6] txdx[7] dinx[7] txdx[7] txdx[7] txctx[0] dinx[8] txctx[0] txctx[0] txctx[1] (msb) dinx[9] txctx[1] txctx[1] scsel n/a n/a scsel table 2. input register bits checked for parity [8] signal name transmit parity ch eck mode (parctl) low mid high txmode[1] = low txmode[1] low txdx[0] x [9] xx txdx[1] x x x txdx[2] x x x txdx[3] x x x txdx[4] x x x txdx[5] x x x txdx[6] x x x txdx[7] x x x txctx[0] x x txctx[1] x x txopx x x x notes 6. the txopx inputs are also captured in the associated input register, but their interpretation is under the separate control o f parctl. 7. one or more k28.5 characters may be added or lost from the da ta stream during this reset operation. when used with non-cypres s devices that require a complete 16-character word sync sequence for proper receive elasticity buffer alignment, it is recommend that the sequence be followed b y a second word sync sequence to ensure proper operation. 8. transmit path parity errors are reported on the associated txperx output. 9. bits marked as x are xored together. result must be a logic-1 for parity to be valid.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 18 of 55 encoder the character, received from th e input register or phase-align buffer and parity check logic, is then passed to the encoder logic. this block interprets each character and any associated control bits, and outputs a 10-bit transmission character. depending on the configured operating mode, the generated transmission character may be the 10-bit pre-encoded char acter accepted in the input register the 10-bit equivalent of the eight-bit data character accepted in the input register the 10-bit equivalent of the ei ght-bit special character code accepted in the input register the 10-bit equivalent of the c0.7 svs character if parity checking was enabled and a parity error was detected the 10-bit equivalent of the c0.7 svs character if a phase-align buffer overflow or underflow error is present a character that is part of the 511-character bist sequence a k28.5 character generated as an individual character or as part of the 16-character word sync sequence. the selection of the specific c haracters generated are controlled by the txmode[1:0], scsel, txctx[1:0], and txdx[7:0] inputs for each character. data encoding raw data, as received directly from the transmit input register, is seldom in a form suitable for transmission across a serial link. the characters must usually be processed or transformed to guarantee a minimum transition density (to allow the serial receive pll to extract a clock from the data stream). a dc-balance in the signaling (to prevent baseline wander). run-length limits in the serial data (to limit the bandwidth require- ments of the serial link). the remote receiver a way of determining the correct character boundaries (framing). when the encoder is enabled (txmode[1] low), the characters to be transmitted are converted from data or special character codes to 10-bit tran smission characters (as selected by their respective txctx[1:0] and scsel inputs), using an integrated 8b/10b encoder. when directed to encode the character as a special character code, it is encoded using the special character encoding rules listed in ta b l e 2 7 . when directed to encode the character as a data character, it is encoded using the data character encoding rules in ta b l e 2 6 . the 8b/10b encoder is standards compliant with ansi/ncits asc x3.230-1994 (fibre channe l), ieee 802.3z (gigabit ethernet), the ibm ? escon ? and ficon? channels, digital video broadcast (dvb-asi), and atm forum standards for data transport. many of the special character codes listed in ta b l e 2 7 may be generated by more than one input character. the cyp(v)15g0401dxb is designed to support two independent (but non-overlapping) special char acter code tables. this allows the cyp(v)15g0401dxb to operate in mixed environments with other cypress hotlink devices using the enhanced cypress command code set, and the reduced command sets of other non-cypress devices. even when used in an environment that normally uses non-cypress special character codes, the selective use of cypress command codes can permit operation where running disparity and error handling must be managed. following conversion of each input character from eight bits to a 10-bit transmission character, it is passed to the transmit shifter and is shifted out lsb first, as required by ansi and ieee standards for 8b/10b coded serial data streams. transmit modes the operating mode of the transmit path is set through the txmode[1:0] inputs. these static three-level select inputs allow one of nine transmit modes to be selected. the transmit modes are listed in table 3 the encoded modes (tx modes 3 through 8) support multiple encoding tables. these encoding t ables vary by the specific combinations of scsel, txctx[1], and txctx[0] that are used to control the generation of data and control characters. these multiple encoding forms allow maximum flexibility in interfacing to legacy applications, while also supporting numerous exten- sions in capabilities. tx mode 0?encoder bypass when the encoder is bypassed, t he character captured from the txdx[7:0] and txctx[1:0] inputs is passed directly to the transmit shifter without modifica tion. if parity checking is enabled (parctl low) and a parity error is detected, the 10-bit character is replaced with the 1001111000 pattern (+c0.7 character). with the encoder bypassed, the txctx[1:0] inputs are considered part of the data character and do not perform a control function that would otherwise modify the interpretation of the txdx[7:0] bits. the bit usag e and mapping of these control bits when the encoder is bypassed is shown in ta b l e 4 . table 3. transmit operating modes tx mode operating mode mode number txmode [1:0] word sync sequence support scsel control txctx function 0 ll none none encoder bypass 1 lm none none reserved for test 2 lh none none reserved for test 3 ml atomic special character encoder control 4 mm atomic word sync encoder control 5 mh atomic none encoder control 6 hl interruptible special character encoder control 7 hm interruptible word sync encoder control 8 hh interruptible none encoder control
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 19 of 55 in encoder bypass mode, the scsel input is ignored. all clocking modes interpret the data the same, with no internal linking between channels. tx modes 1 and 2?factory test modes these modes enable specific factory test configurations. they are not considered normal operati ng modes of the device. entry or configuration of the device into these modes will not damage the device. tx mode 3? word sync and scsel control of special codes when configured in tx mode 3, the scsel input is captured along with the associated txctx[1:0] data control inputs. these bits combine to control the interpretation of the txdx[7:0] bits and the characters generated by them. these bits are inter- preted as listed in table 5 . when txcksel = mid, all transm it channels capture data into their input registers using independent txclkx clocks. in this mode, the scsel input is sampled only by txclka . when the character (accepted in the channel-a input register) has passed through the phase-align buffer and any selected parity validation, the level captured on scsel is passed to the encoder of the remaining channels during this same cycle. to avoid the possible ambiguities that may arise due to the uncontrolled arrival of scsel relative to the characters in the alternate channels, scsel is oft en used as static control input. word sync sequence when txctx[1:0] = 11, a 16 -character sequence of k28.5 characters, known as a word sy nc sequence, is generated on the associated channel. this sequence of k28.5 characters may start with either a positive or negative disparity k28.5 (as deter- mined by the current running disparity and the 8b/10b coding rules). the disparity of the second and third k28.5 characters in this sequence are reversed from what normal 8b/10b coding rules would generate. the remaining k28.5 characters in the sequence follow all 8b/10b coding rules. the disparity of the generated k28.5 characters in this sequence follow a pattern of either ++??+?+?+?+?+?+? or ??++?+?+?+?+?+?+. when txmode[1] = mid (open, tx modes 3, 4, and 5), the generation of this character sequence is an atomic (non-inter- ruptible) operation. once it ha s been successfully started, it cannot be stopped until all sixt een characters have been generated. the content of the associated input registers is ignored for the duration of this 16-character sequence. at the end of this sequence, if the txct x[1:0] = 11 condition is sampled again, the sequence restarts and remains uninterruptible for the following fifteen character clocks. if parity checking is enabled, the character used to start the word sync sequence must also have correct odd parity. once the sequence is started, parity is not checked on the following fifteen characters in the word sync sequence. when txmode[1] = high (tx modes 6, 7, and 8), the gener- ation of the word sync sequence becomes an interruptible operation. in tx mode 6, this sequence is started as soon as the txctx[1:0] = 11 condit ion is detected on a channel. in order for the sequence to continue on that channel, the txctx[1:0] inputs must be sampled as 00 for the re maining fifteen characters of the sequence. if at any time a sample period exists where txctx[1:0] 00, the word sync sequence is terminated, and a character repre- senting the associated data and c ontrol bits is generated by the encoder. this resets the word sync sequence state machine such that it will start at the begi nning of the sequence at the next occurrence of txctx[1:0] = 11. when parity checking is enabled and txmode[1] = high, all characters (including those in the middle of a word sync sequence) must have correct parity . the detection of a character with incorrect parity during a word sync sequence will interrupt that sequence and force generation of a c0.7 svs character. any interruption of the word sync sequence causes the sequence to terminate. when txcksel = low, the input registers fo r all four transmit channels are clocked by refclk. [4] when txcksel = high, the input registers for all four transmit channels are clocked with txclka . in these clock modes all four sets of txctx[1:0] inputs operate synchronous to the scsel input. [11] tx mode 4?atomic word sync and scsel control of word sync sequence generation when configured in tx mode 4, the scsel input is captured along with the associated txctx[ 1:0] data control inputs. these bits combine to control the interpretation of the txdx[7:0] bits table 4. encoder bypass mode (txmode[1:0] = ll) signal name bus weight 10bit name txdx[0] (lsb) [10] 2 0 a txdx[1] 2 1 b txdx[2] 2 2 c txdx[3] 2 3 d txdx[4] 2 4 e txdx[5] 2 5 i txdx[6] 2 6 f txdx[7] 2 7 g txctx[0] 2 8 h txctx[1] (msb) 2 9 j table 5. tx modes 3 and 6 encoding scsel txctx[1] txctx[0] characters generated x x 0 encoded data character 0 0 1 k28.5 fill character 1 0 1 special character code x 1 1 16-character word sync sequence notes 10. lsb is shifted out first. 11. when operated in any configuration where receive channels are bonded together, txcksel must be either low or high (not mid) to ensure that associated characters are transmitted in the same character cycle.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 20 of 55 and the characters generated by them. these bits are inter- preted as listed in table 6 . when txcksel = mid, all transm it channels o perate indepen- dently. in this mode, the scsel input is sampled only by txclka . when the character accepted in the channel-a input register has passed any selected validation and is ready to be passed to the encoder, the level captured on scsel is passed to the encoders of the remaining channels during this same cycle. changing the state of scsel will change the relationship of the characters to other channels. scsel should either be used as a static configuration input, or changed only when the state of txctx[1:0] on the alternate c hannels are such that scsel is ignored during the change. tx mode 4 also supports an word sync sequence. unlike tx mode 3, this sequence starts when scsel and txctx[0] are both high. with the exception of the combination of control bits used to initiate the sequence, the generation and operation of this word sync sequence is the same as for tx mode 3. tx mode 5?atomic word sync generation without scsel. when configured in tx mode 5, the scsel signal is not used. in addition to the standard character encodings, two additional encoding mappings are controlled by the channel bonding selection made through the rxmode[1:0] inputs. for non-bonded operation, the txct x[1:0] inputs for each channel control the characters generated by that channel. the specific characters generated by these bits are listed in ta b l e 7 . tx mode 5 also has the capabilit y of generating an atomic word sync sequence. for the sequence to be started, the txctx[1:0] inputs must both be sampled high. the generation and operation of this word sync s equence is the same as tx mode 3two additional encoding maps are provided for use when receive channel bonding is enabled. when dual-channel bonding is enabled (rxmode[1] = mid), the cyp(v)15g0401dxb is configured such that channels a and b are bonded together to form a two-character-wide path, and channels c and d are bonded together to form a second two-character-wide path. when operated in this two-channel bonded mode, the txcta[0] and txctb[0] inputs control the interpretation of the data on both the a and b channels, while the txctc[0] and txctd[0] inputs control the interpretation of the data on both the c and d channels. the characters on each half of these bonded channels are controlled by the associated txctx[1] bit. the specific characters generated by these c ontrol bit combinations are listed in table 8 . note especially that any time txctb[0] is sampled high, both channels a and b start generating an atomic word sync sequence, regardless of the state of any of the other bits in the a or b input registers. in a similar fashion, anytime txctd[0] is sampled high, both the c and d channels start generation of an atomic word sync sequence. when rxmode[1] = high, the cyp(v)15g0401dxb is configured for quad-channel bondi ng, such that channels a, b, c, and d are bonded together to form a four-character-wide path. when operated in this mode, the txcta[0] and txctb[0] inputs control the interpretation of the data on all four channels. the characters generated on these bonded channels are controlled by the associated txctx[1] bit. the specific characters generated by these bits are listed in table 9 . unlike dual-channel bonded modes, when all four channels are bonded together, the txctc[0] and txctd[0] inputs are ignored. transmit bist each transmit channel contains an internal pattern generator that can be used to validate both dev ice and link operation. these generators are enabled by the associated boe[x] signals listed in table 10 (when the bistle latch enable input is high). when enabled, a register in the associated transmit channel becomes a signature pattern generator by logically converting to a linear feedback shift register (lfsr). this lfsr generates a 511-character sequence that includes all data and special character codes, including the explicit violation symbols. this provides a predictable yet pseudo-random sequence that can be matched to identical lfsr in the attached receiver(s). if the receive channels are configured for common clock operation (rxcksel mid) and encoder is enabled (txmode[1] low) each pass is preceded by a 16-character word sync sequence to allow elasticity buffer alignment and management of clock- frequency variations. when the bistle signal is high, any boe[x] input that is low enables the bist generator in the associated transmit channel (or the bist checker in the associated receive channel). when bistle returns low, the values of all boe[x] signals are captured in the bist enable latch. these values remain in the bist enable latch until bistle is returned high to open the latch. a device reset (trstz sampled low), presets the bist enable latch to disable bist on all channels. table 6. tx modes 4 and 7 encoding scsel txctx[1] txctx[0] characters generated x x 0 encoded data character 0 0 1 k28.5 fill character 0 1 1 special character code 1 x 1 16-character word sync sequence table 7. tx modes 5 and 8 encoding, non-bonded (rx- mode[1] = low) scsel txctx[1] txctx[0] characters generated x 0 0 encoded data character x 0 1 k28.5 fill character x 1 0 special character code x 1 1 16-character word sync sequence
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 21 of 55 table 8. tx modes 5 and 8, dual -channel bonded (rxmode[1] = mid) scsel txcta[1] txcta[0] txctb[1] txctb[0] txctc[1] txctc[0] txctd[1] txctd[0] characters generated x0 0 x0 x x x x encoded data character on channel a x0 1 x0 x x x x k28.5 fill character on channel a x1 0 x0 x x x x special character code on channel a x1 1 x0 x x x x 16-character word sync on channel a x x000 x x x x encoded data character on channel b x x100 x x x x k28.5 fill character on channel b x x010 x x x x special character code on channel b x x110 x x x x 16-character word sync on channel b x x x x1 x x x x 16-character word sync on channels a and b x x x x x00 x 0 encoded data character on channel c x x x x x01 x 0 k28.5 fill character on channel c x x x x x10 x 0 special character code on channel c x x x x x11 x 0 16-character word sync on channel c x x x x x x 0 0 0 encoded data character on channel d x x x x x x 1 0 0 k28.5 fill character on channel d x x x x x x 0 1 0 special character code on channel d x x x x x x 1 1 0 16-character word sync on channel d x x x x x x x x 1 16-character word sync on channels c and d table 9. tx modes 5 and 8, quad-channel bonded (rxmode[1] = high) scsel txcta[1] txcta[0] txctb[1] txctb[0] txctc[1] txctc[0] txctd[1] txctd[0] characters generated x0 0 x0 x x x x encoded data character on channel a x0 1 x0 x x x x k28.5 fill character on channel a x1 0 x0 x x x x special character code on channel a x1 1 x0 x x x x 16-character word sync on channel a x x000 x x x x encoded data character on channel b x x100 x x x x k28.5 fill character on channel b x x010 x x x x special character code on channel b x x110 x x x x 16-character word sync on channel b x x0 x00 x x x encoded data character on channel c x x1 x00 x x x k28.5 fill character on channel c x x0 x01 x x x special character code on channel c x x1 x01 x x x 16-character word sync on channel c x x0 x0 x x0 x encoded data character on channel d x x1 x0 x x0 x k28.5 fill character on channel d x x0 x0 x x1 x special character code on channel d x x1 x0 x x1 x 16-character word sync on channel d x x x x1 x x x x 16-character word sync on channels a, b, c, and d
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 22 of 55 all data and data-control information present at the associated txdx[7:0] and txctx[1:0] inpu ts are ignored when bist is active on that channel. serial output drivers the serial interface output driv ers use high-performance differ- ential cml (current mode logic) to provide source-matched drivers for the transmission lines. these serial drivers accept data from the transmit shifters. these outputs have signal swings equivalent to that of standard pecl drivers, and are capable of driving ac-coupled optical modules or transmission lines. when configured for local loopback (lpen = high), all enabled serial drivers are configured to dr ive a static differential logic-1. each serial driver can be enabled or disabled separately through the boe[7:0] inputs, as controlled by the oele latch-enable signal. when oele is high, the signals present on the boe[7:0] inputs are passed th rough the serial output enable latch to control the serial driver . the boe[7:0] input associated with a specific outxy driver is listed in ta b l e 1 0 . when oele is high and boe[x] is high, th e associated serial driver is enabled. when oele is high and boe[x] is low, the associated serial driver is disabled and internally powered down. if both serial drivers for a channel are in this disabled state, the associated internal logic for that channel is also powered down. when oele returns low, the values present on the boe[7:0] inputs are latched in the output enable latch, and remain there until oele returns high to enable the latch. a device reset (trstz sampled low) clears this latch and disables all serial drivers. note when all transmit channels are disabled (i.e., both outputs disabled in all channels) and a channel is re-enabled, the data on the serial drivers may not meet all timing specifications for up to 200 s. transmit pll clock multiplier the transmit pll clock multiplier accepts a character-rate or half-character-rate external cl ock at the refclk input, and multiples that clock by 10 or 20 (as selected by txrate) to generate a bit-rate clock for use by the transmit shifter. it also provides a character-rate clock used by the transmit paths. this clock multiplier pll can accept a refclk input between 20 mhz and 150 mhz, however, this clock range is limited by the operating mode of the cyp(v)15g0401dxb clock multiplier (controlled by txrate) and by the level on the spdsel input. when txrate = high (half-ra te refclk), txcksel = high or mid (txclkx or txclka selected to clock input register) is an invalid mode of operation. spdsel is a static three-level select [5] (ternary) input that selects one of three operating ranges for the serial data outputs and inputs. the operating serial signaling-rate and allowable range of refclk frequencies are listed in table 11 . the refclk input is a differential input with each input inter- nally biased to 1.4 v. if the refclk+ input is connected to a ttl, lvttl, or lvcmos clock source , refclk? can be left floating and the input signal is recognized when it passes through the internally biased reference point. when both the refclk+ and refc lk? inputs are connected, the clock source must be a differential clock. this can be either a differential lvpecl cl ock that is dc- or ac-coupled, or a differ- ential lvttl or lvcmos clock. by connecting the refclk? input to an external voltage source or resistive voltage divider, it is possible to adjust the reference point of the refclk+ input for alternate logic levels. when doing so, it is necessary to ensure th at the input differential crossing point remains within the parametric range supported by the input. cyp(v)15g0401dxb r eceive data path serial line receivers two differential line receivers, inx1 and inx2 , are available on each channel for accepting serial data streams. the active serial line receiver on a channel is selected using the associated inselx input. the serial line receiver inputs are differential, and can accommodat e wire interconnect and filtering losses or transmission line attenuation greater than 16 db. for normal operation, these inputs should receive a signal of at least vi diff > 100 mv, or 200 mv peak-to-peak differential. each line receiver can be dc- or ac-coupled to +3.3 v powered fiber-optic interface modules ( any ecl/pecl family, not limited to 100k pecl) or ac-coupled to +5 v powered optical modules. the common-mode tolerance of these line receivers accommo- dates a wide range of signal termination voltages. each receiver provides internal dc-restoration, to the center of the receiver?s common mode range, for ac-coupled signals. the local loopback input (lpen) allows the serial transmit data to be routed internally back to the clock and data recovery circuit associated with each channel. when configured for local table 10. output enable, bist, and receive channel enable signal map boe input output controlled (oele) bist channel enable (bistle) receive pll channel enable (rxle) boe[7] outd2 transmit d x boe[6] outd1 receive d receive d boe[5] outc2 transmit c x boe[4] outc1 receive c receive c boe[3] outb2 transmit b x boe[2] outb1 receive b receive b boe[1] outa2 transmit a x boe[0] outa1 receive a receive a table 11. operating speed settings spdsel txrate refclk frequency (mhz) signaling rate (mbaud) low 1 reserved 195?400 0 19.5?40 mid (open) 1 20?40 400?800 0 40?80 high 1 40?75 800?1500 0 80?150
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 23 of 55 loopback, all transmit serial driver outputs are forced to output a differential logic-1. this prevents local diagnostic patterns from being broadcast to attached remote receivers. signal detect/link fault each selected line receiver (i.e., that routed to the clock and data recovery pll) is si multaneously monitored for analog amplitude above limit specified by sdasel transition density great er than specified limit range controller reports the received data stream within normal frequency range (1500 ppm) [12] receive channel enabled all of these conditions must be valid for the signal detect block to indicate a valid signal is present. this status is presented on the lfix (link fault indicator) output associated with each receive channel. analog amplitude while most signal monitors are based on fixed constants, the analog amplitude level detection is adjustable. this allows operation with highly attenuat ed signals, or in high-noise environments. this adjustment is made through the sdasel signal, a three-level select [5] input, which sets the trip point for the detection of a valid signal at one of three levels, as listed in ta b l e 1 2 . this control input affects the analog monitors for all receive channels. the analog signal detect monitors are active for the line receiver selected by the associated inselx input. when the channel is configured for local loopback (lpen = high), no line receivers are selected, and the lfix output for each channel reports only the receive vco frequency out-of-range and transition density status of the associated transmit signal. when local loopback is active, the analog signal detect monitors are disabled. transition density the transition detection logic checks for the absence of any transitions spanning greater than six transmission characters (60 bits). if no transitions are present in the data received on a channel, the transition detection lo gic for that channel will assert lfix . the lfix output remains asserted until at least one transition is detected in each of three adjacent received characters. range controls the clock/data recovery (cdr) circuit includes logic to monitor the frequency of the phase locked loop (pll) voltage controlled oscillator (vco) used to sample the incoming data stream. this logic ensures that the vco operates at, or near the rate of the incoming data st ream for two primary cases: when the incoming data stream resumes after a time in which it has been ?missing? when the incoming data stream is outside the acceptable frequency range to perform this function, the frequency of the vco is periodically sampled and compared to the frequency of the refclk input. if the vco is running at a frequency beyond 1500 ppm [12] as defined by the reference clock frequency, it is periodically forced to the correct frequency (as defined by refclk, spdsel, and txrate) and then released in an attempt to lock to the input data stream. the sampling and relock period of the range control is calculated as fo llows: range control sampling period = (refclkperiod) * (16000). during the time that the range control forces the pll vco to run at refclk*10 (or refclk*20 when txrate = high) rate, the lfix output will be asserted low. while the pll is attempting to re-lock to the in coming data stream, lfix may be either high or low (depending on other factors such as transition density and amplitud e detection) and the recovered byte clock (rxclkx) may run at an incorrect rate (depending on the quality or existence of the i nput serial data stream). after a valid serial data stream is applied, it may take up to one range control sampling period befo re the pll locks to the input data stream, after which lfix should be high. receive channel enabled the cyp(v)15g0401dxb contains four receive channels that can be independently enabled and disabled. each channel can be enabled or disabled separate ly through the boe[7:0] inputs, as controlled by the rxle latch-enable signal. when rxle is high, the signals present on the boe[7:0] inputs are passed through the receive channel enable latch to control the plls and logic of the associated receive channel. the boe[7:0] input associated with a specific receive channel is listed in ta b l e 1 0 . when rxle is high and boe[x] is high, the associated receive channel is enabled to receive and recover a serial stream. when rxle is high and boe[x] is low, the associated receive channel is disabled and powered down. if a single channel of a bonded-pair or bonded-quad is disabled, the other receive channels may not bond correctly. if the disabled channel is selected as the master channel for insert/delete or recovered clock select, these functions will not work correctly. any disabled channel indicates an asserted lfix output. when rxle returns low, the values present on the boe[7:0] inputs are latched in the receive channel enable latch, and remain there until rxle returns high to open the latch again. [14] table 12. analog amplitude detect valid signal levels [13] sdasel typical signal with peak amplitudes above low 140 mv p-p differential mid (open) 280 mv p-p differential high 420 mv p-p differential notes 12. refclk has no phase or frequency relationship with the recovered clock(s) and only acts as a centering reference to reduce c lock synchronization time. refclk must be within 1500 ppm (0.15%) of the remote transmitter?s pll reference (refclk) frequency. although transmitting to a hotl ink ii receiver necessitates the frequency difference between the transmitter and receiver refer ence clocks to be within 1500-ppm, the stability of the crystal needs to be within the limits specified by the appropriate standard when transmitting to a remote receiver that is compliant to that standard. for example, to be ieee 802.3z gigabit ethernet compliant, the frequency stability of the crystal needs to be within 100 ppm. 13. the peak amplitudes listed in this table are for typical wave forms that have generally 3 ? 4 tr ansitions for every ten bits. in a worse case environment the signals may have a sign-wave appearance (highest transition density with repeat ing 0101...). signal peak amplitudes levels within this envi ronment type could increase the values in the table above by approximately 100 mv. 14. when a disabled receive channel is re-enabled, the status of th e associated lfix output and data on the parallel outputs for the associated channel may be indeterminate for up to 2 ms.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 24 of 55 clock/data recovery the extraction of a bit-rate clock and recovery of bits from each received serial stream is performed by a separate clock/data recovery (cdr) block within ea ch receive channel. the clock extraction function is performed by embedded phase-locked loops (plls) that track the frequency of the transitions in the incoming bit streams and align the phase of their internal bit-rate clocks to the transitions in the selected serial data streams. each cdr accepts a character-rate (bit-rate 10) or half-character-rate (bit-rate 20) reference clock from the refclk input. this refclk input is used to ensure that the vco (within the cdr) is operating at the correct frequency. to reduce pll acquisition time and to limit unlocked frequency excursions of the cdr vco when there is no input data present at the selected serial line receiver. regardless of the type of signal present, the cdr will attempt to recover a data stream from it. if the frequency of the recovered data stream is outside the limits of the range control monitor, the cdr will switch to track refclk instead of the data stream. once the cdr output (rxclkx) frequency returns back close to refclk frequency, the cdr input will be switched back to track the input data stream. in case no data is present at the input this switching behavior may result in brief rxclkx frequency excur- sions from refclk. however, the validity of the input data stream is indicated by the lfix output. the frequency of refclk is required to be within 1500 ppm [12] of the frequency of the clock that drives the re fclk input of the remote trans- mitter to ensure a lock to the incoming data stream. for systems using multiple or redundant connections, the lfix output can be used to select an alternate data stream. when an lfix indication is detected, external logic can toggle selection of the associated inx1 and inx2 inputs through the associated inselx input. when a port switch ta kes place, it is necessary for the receive pll for that channel to reacquire the new serial stream and frame to the incoming character boundaries. if channel bonding is also enabled, a channel alignment event is also required before the output data may be considered usable. deserializer/framer each cdr circuit extracts bits from the associated serial data stream and clocks these bits in to the shifter/framer at the bit-clock rate. when enabled, the framer examines the data stream, looking for one or more comma or k28.5 characters at all possible bit positions. the location of this character in the data stream is used to determine t he character boundaries of all following characters. framing character the cyp(v)15g0401dxb allows selection of two combinations of framing characters to support requirements of different inter- faces. the selection of the framin g character is made through the framchar input. the specific bit combinations of these framing characters are listed in table 13 . when the specific bit combination of the selected framing character is dete cted by the framer, the bound- aries of the characters present in the received data stream are known. framer the framer on each channel operates in one of three different modes, as selected by the rfmode input. in addition, the framer itself may be enabled or disabled through the rfen input. when rfen = low, the fr amers in all four receive paths are disabled, and no combination of bits in a received data stream will alter the characte r boundaries. when rfen = high, the framer selected by rfmode is enabled on all four channels. when rfmode = low, the low-latency framer is selected [16] . this framer operates by stretching the recovered character clock until it aligns wi th the received character bound- aries. in this mode, the framer starts its alignment process on the first detection of the selected framing character. to reduce the impact on external circuits that make use of a recovered clock, the clock period is not stretched by more than two bit-periods in any one clock cycle. when operated with a character-rate output clock (r xrate = low), the output of properly framed characters may be delayed by up to nine character-clock cycles from the det ection of the selected framing character. when opera ted with a half-charac ter-rate output clock (rxrate = high), the output of properly framed characters may be delayed by up to fourteen c haracter-clock cycles from the detection of the selected framing character. when rfmode = mid (open), the cypress-mode multi-byte framer is selected. the require d detection of multiple framing characters makes the associated link much more robust to incorrect framing due to aliased framing characters in the data stream. in this mode, the framer does not adjust the character clock boundary, but instead aligns the character to the already recovered character clock. this ensures that the recovered clock does not contain any significan t phase changes or hops during normal operation or framing, and allows the recovered clock to be replicated and distributed to other external circuits or compo- nents using pll-based clock distribution elements. in this framing mode, the character bounda ries are only adjusted if the selected framing character is det ected at least twice within a span of 50 bits, with both instances on identical 10-bit character boundaries. table 13. framing character selector framchar bits detected in framer character name bits detected low reserved for test mid (open) comma+ or comma ? 00111110xx [15] or 11000001xx high ?k28.5 or +k28.5 0011111010 or 1100000101 notes 15. the standard definition of a comma contains only seven bits. however, since all valid comma characters within the 8b/10b cha racter set also have the eighth bit as an inversion of the seventh bit, the compare pattern is extended to a full eight bits to reduce the possibility of a framing er ror. 16. when receive bist is enabled on a channel, the low-latency fram er must not be enabled. the bist sequence contains an aliased k28.5 framing character, which would cause the receiver to update its character boundaries incorrectly.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 25 of 55 when rfmode = high, the alter nate-mode multi-byte framer is enabled. like the cypress-mode multi-byte framer, multiple framing characters must be detected before the character boundary is adjusted. in this m ode, the framer does not adjust the character clock boundary, but instead aligns the character to the already recovered character clock. in this mode, the data stream must contain a minimum of four of the selected framing characters, received as consecutive characters, on identical 10-bit boundaries, before character framing is adjusted. framing for all channels is enabled when rfen = high. if rfen = low, the framer for each channel is disabled. when the framers are disabled, no changes are made to the recovered character boundaries on any channel, regardless of the presence of framing characters in the data stream. 10b/8b decoder block the decoder logic block performs three primary functions: decoding the received transmission characters back into data and special character codes comparing generated bist patter ns with received characters to permit at-speed link and device testing generation of odd parity on the decoded characters. 10b/8b decoder the framed parallel output of each deserializer shifter is passed to the 10b/8b decoder where, if the decoder is enabled (decmode low), it is transformed from a 10-bit transmission character back to the original data and special character codes. this block uses the 10b/8b decoder patterns in table 26 and ta b l e 2 7 of this data sheet. valid data characters are indicated by a 000b bit-combination on the associated rxstx[2:0] status bits, and special character codes are indicated by a 001b bit-combination on these same status outputs. framing characters, invalid patterns, disp arity errors, and synchronization status are presented as alternat e combinations of these status bits. the 10b/8b decoder operates in two normal modes, and can also be bypassed. the operating mode for the decoder is controlled by the decmode input. when decmode = low, the decoder is bypassed and raw 10-bit characters are passed to the output register. in this mode, channel bonding is not possible, the receive elasticity buffers are bypassed, and rxc ksel must be mid. this clock mode generates separate rxclkx outputs for each receive channel. when decmode = mid (or open), the 10-bit transmission characters are decoded using ta b l e 2 6 and ta b l e 2 7 . received special code characters are decoded using the cypress column of table 27 . when decmode = high, the 10-bit transmission characters are decoded using ta b l e 2 6 and table 27 . received special code characters are decoded using the alternate column of ta b l e 2 7 . in all settings where the decoder is enabled, the receive paths may be operated as separate channels or bonded to form various multi-channel buses. receive bist operation the receiver interfaces contain internal pattern generators that can be used to validate both dev ice and link operation. these generators are enabled by the associated boe[x] signals listed in table 10 (when the bistle latch enable input is high). when enabled, a register in the associated receive channel becomes a pattern generator and checker by logically converting to a linear feedback shift register (lfsr). this lfsr generates a 511-character sequence that includes all data and special character codes, including the explicit violation symbols. this provides a predictable yet ps eudo- random sequence that can be matched to an identical lfsr in the attached transmitter(s). if the receive channels are configured for common clock operation (rxcksel mid) each pass is preceded by a 16-character word sync sequence. when synchronized with the received data stream, the a ssociated receiver checks each character in the decoder with each character generated by the lfsr and indicates compare errors and bist status at the rxstx[2:0] bits of the output register. see ta b l e 2 2 for details. when the bistle signal is high, any boe[x] input that is low enables the bist generator/checker in the associated receive channel (or the bist generator in the associated transmit channel). when bistle returns lo w, the values of all boe[x] signals are captured in the bist enable latch. these values remain in the bist enable latch until bistle is returned high. all captured signals in the bist enable latch are set high (i.e., bist is disabled) following a device reset (trstz is sampled low). when bist is first recognized as being enabled in the receiver, the lfsr is preset to the bist-loop start-code of d0.0. this d0.0 character is sent only once per bist loop. the status of the bist progress and any character mismatches is presented on the rxstx[2:0] status outputs. code rule violations or running disp arity errors that occur as part of the bist loop do not cause an error indication. rxstx[2:0] indicates 010b or 100b for one character period per bist loop to indicate loop completion. this status can be used to check test pattern progress. these same status values are presented when the decoder is bypassed and bist is enabled on a receive channel. the status reported on rxstx[2:0] by the bist state machine are listed in table 22 . when receive bist is enabled, the same status is reported on the receive status outputs regardless of the state of decmode. the specific patterns checked by each receiver are described in detail in the cypress application note ?hotlink built-in self-test.? the sequence compared by the cyp(v)15g0401dxb when rxcksel = mid is identical to that in the cy7b933 and cy7c924dx, allowing interoperable systems to be built when used at compatible serial signaling rates. if the number of invalid characters received ever exceeds the number of valid characters by sixteen, the receive bist state machine aborts the compare operations and resets the lfsr to the d0.0 state to look for the start of the bist sequence again. when the receive paths are configured for common clock operation (rxcksel mid), each pass must be preceded by a 16-character word sync sequence to allow output buffer alignment and management of cl ock frequency variations. this
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 26 of 55 is automatically generated by the transmitter when its local rxcksel mid and encoder is enabled (txmode[1] low). the bist state machine requires the characters to be correctly framed for it to detect the bist sequence. if the low latency framer is enabled (rfmode = low), the framer will misalign to an aliased framing character wit hin the bist s equence. if the alternate multi-byte framer is enabled (rfmode = high) and the receiver outputs are clocked relative to a recovered clock, it is necessary to frame the receiver before bist is enabled. receive elasticity buffer each receive channel contains an elasticity buffer that is designed to support multiple clocking modes. these buffers allow data to be read using an elasticity buffer read-clock that is asynchronous in both frequency and phase from the elasticity buffer write clock, or to us e a read clock that is frequency coherent but with uncontrolled phas e relative to the elasticity buffer write clock. each elasticity buffer is 10-characters deep, and supports a twelve-bit wide data path. it is capable of supporting a decoded character, three status bits, and a parity bit for each character present in the buffer. the write clock for these buffers is always the recovered clock for the associated read channel. the read clock for the elasticity buffers may come from one of three selectable so urces. it may be a character-rate refclk (rxcksel = low and decmode low) recovered clock from an alternate rece ive channel (rxcksel = high and decmode low). these elasticity buffers are also used to align the output data streams when multiple channels are bonded together. more details on how the elasticity buffer is used for independent channel modes and channel bonded modes is discussed in the next section. the elasticity buffers are bypassed whenever the decoders are bypassed (decmode = low). when the decoders and elasticity buffers are bypassed, rxckselx must be set to mid. receive modes the operating mode of the receive path is set through the rxmode[1:0] inputs. the ?reserved for test? settings (rxmode0 = m) is not allowed, even if the receiver is not being used, as it will stop normal func tion of the device. when the decoder is disabled, the rxmode [1:0] settings are ignored as long as they are not test mo des. these modes determine the type (if any) of channel bonding and status reporting. the different receive modes are listed in table 14 . independent channel modes in independent channel modes (rx modes 0 and 2, where rxmode[1] = low), all four receive paths may be clocked in any clock mode selected by rxcksel. when rxcksel = low, all four receive channels are clocked by refclk. rxclkb and rxclkd outputs are disabled (high-z), and the rxclka and rxclkc outputs present a buffered and delayed form of refclk. in this mode, the receive elasticity buffers are enabled. for refclk clocking, the elasticity buffers must be able to insert k28.5 characters and delete framing characters as appropriate. the insertion of a k28.5 or deletion of a framing character can occur at any time on any channel, however, the actual timing on these insertions and deletions is c ontrolled in part by the how the transmitter sends its data. insertion of a k28.5 character can only occur when the receiver has a framing character in the elasticity buffer. likewise, to delete a framing character, one must also be present in the elasticity buffer. to prevent a receive buffer overflow or underflow on a receive channel, a minimum density of framing characters must be present in the received data streams. when rxcksel = mid (or open), each received channel output register is clocked by the recovered clock for that channel. since no characters may be added or deleted, the receiver elasticity buffer is bypassed. when rxcksel = high in independent channel mode, all channels are clocked by the selected recovered clock. this selection is made using the rxclkb+ and rxclkd+ signals as inputs per table 15 . this selected clo ck is always output on rxclka and rxclkc . in this mode the receive elasticity buffers are enabled. when data is output using a recovered clock (rxcksel = high), the receive channels are not allowed to insert and delete characters, e xcept as necessary for elasticity buffer alignment. when the elasticity buffer is used, prior to reception of valid data, a word sync sequence (or at least four framing characters) must be received to center the elasticity buffers. the elasticity buffer may also be centered by a devic e reset operation initiated by trstz input. however, following such an event, the cyp(v)15g0401dxb also requires a framing event before it will correctly decode characters. when rxcksel = high, since the elasticity buffer is not allowed to insert or delete framing characters, the transmit clocks on all received c hannels must all be from a common source. table 14. receive operating modes rx mode operating mode mode number rxmode [1:0] channel bonding rxstx status reporting 0 ll independent status a 1 lm reserved for test 2 lh independent status b 3 ml dual status a 4 mm reserved for test 5 mh dual status b 6 hl quad status a 7 hm reserved for test 8 hh quad status b
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 27 of 55 dual-channel bonded modes in dual-channel bonded modes (rx modes 3 and 5, where rxmode[1] = mid or open), the a ssociated receive channel pair output registers must be clocked by a common clock. this mode does not operate when rxcksel = mid. proper operation in this mode requires that the associated transmit data streams are clocked from a common reference with no long-term character slippage between the bonded channels. in dual-channel mode this means that channels a and b must be clocked from a common reference, and channels c and d must be clocked from a common reference. prior to the reception of valid data, a word sync sequence (or that portion necessary to align the receive buffers) must be received on the bonded channels (within the allowable inter-channel skew window) to allow the receive elasticity buffers to be centered. while normal characters may be output prior to this alignment event, they are not necessarily aligned to the same word boundaries as when they were transmitted. when rxcksel = low, all four receive channels are clocked by refclk. rxclkb and rxclkd outputs are disabled (high-z), and rxclka and rxclkc present a buffered and delayed form of refclk. in this mode, the receive elasticity buffers are enabled. for refclk clocking, the elasticity buffers must be able to insert k28.5 characters and delete framing characters as appropriate. while these insertions and deletions can take place at any time, they must occur at the same time on both channels that are bonded together. this is necessary to keep the data in the bonded channel-pairs properly aligned. this insert and delete process is controlled by the channel selected using the rxclkb+ and rxclkd+ inputs as listed in ta b l e 1 6 . when rxcksel = high, the a and b channels are clocked by the selected recovere d clock, and the c and d channels are clocked by the selected recovered clock, as shown in ta b l e 1 6 . the output clock for the channel a/b bonded-pair is output continuously on rxclka . the clock source for this output is selected from the recovered clock for channel a or channel b using the rxclkb+ input. the output clock for the channel c/d bonded-pair is output continuously on rxclkc . the clock source for this output is select ed from the recovered clock for channel c or channel d using the rxclkd+ input. when data is output using a recovered clock (rxcksel = high), receive channels are not allowed to insert and delete characters, except as necessary for elasticity buffer alignment. quad channel modes in quad-channel modes (rx modes 6 and 7, where rxmode[1] = high), all four receive chan nel output registers must be clocked by a common clock. this mode does not operate when rxcksel = mid. proper operation in this mode re quires that the four transmit data streams are clocked from a common reference with no long-term character slippage between the bonded channels. in quad-channel modes this means t hat the transmit channels a, b, c, and d must all be clocked from a common reference. prior to the delivery of valid data, at least one word sync sequence (or that portion necessary to align the receive buffers) must be received on all four bonded channels (within the allowable inter-channel skew window) to allow the receive elasticity buffers to be centered and aligned. when rxcksel = low, all four receive channels are clocked by the internal derivative of refclk. rxclkb and rxclkd outputs are disabled (high-z), and rxclka and rxclkc present a buffered and delayed form of refclk. in this mode the receive elasticity buffers are enabled. for refclk clocking, the elasticity buffers must be able to insert k28.5 characters and delete framing characters as appropriate. while these insertions and deletions can take place at any time, they must occur at the same time on all four channels. this is necessary to keep the data in the four bonded c hannels properly aligned. this insert and delete process is controlled by the master channel selected using the rxclkb+ and rxclkd+ inputs as listed in table 15 . when rxcksel = high, all four receive-channel output registers are clocked by the sele cted recovered clock. the clock select for quad channel mode is the same as that for independent channel operation. this selection is made using the rxclkb+ and rxclkd+ inputs, as shown in ta b l e 1 5 . the output clock for the four bonded channels is output continuously on rxclka and rxclkc . when data is output using a recovered clock (rxcksel = high), receive channels are not allowed to insert and delete characters, except as necessary for elasticity buffer alignment. table 15. independent and quad channel bonded recovered clock or master channel select rxclkb+ rxclkd+ rxclka /rxclkc clock source 0 0 rxclka 0 1 rxclkb 1 0 rxclkc 1 1 rxclkd table 16. dual-channel bonded recovered clock select rxclkb+ rxclkd+ clock source rxclka rxclkc 0 xrxclka 1 xrxclkb x0 rxclkc x1 rxclkd
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 28 of 55 multi-device bonding when configured for quad-channel bonding (rxmode[1] = high) it is also possible to bond channels across multiple devices. this form of channel bonding is only possible when rxcksel = low, selecting refclk as the output clock for all channels on all devices. in this mode, the bondst[1:0] signals of all bonding devices must be connected together to pass elasticity buffer management events between the devices. this is necessary to keep the data on all bonded devices in common alignment. one device must be selected as the co ntrolling device by driving the master pin on that device low. all other devices must have their master pin high to prevent having multiple active drivers on the bondst bus. within the ma ster device, a single receive channel is selected as the master channel for generation of the different bondst[1:0] status. this selection is made using the rxclkb+ and rxclkd+ inputs, as shown in ta b l e 1 5 . this allows the master channel selection to be changed through external control of the master , rxclkb+, and rxclkd+ inputs. [17] in this mode, the bond_all signal of all bonding devices must be connected together. the bond_all signal is a wired and and the signal is low during the bonding resolution process. after the completion of bonding resolution it returns high. power control the cyp(v)15g0401dxb supports user control of the powered up or down state of each transmit and receive channel. the receive channels are controlled by the rxle signal and the values present on the boe[7:0] bus. the transmit channels are controlled by the oele signal and the values present on the boe[7:0] bus. powering down unused channels will save power and reduce system heat generation. controlling system power dissipation will improve the system performance. receive channels when rxle is high, the signals on the boe[7:0] inputs directly control the power enables for the receive plls and analog circuits. when a boe[7:0] input is high, the associated receive channel [a through d] pll and analog logic are active. when a boe[7:0] input is low, the associated receive channel [a through d] pll and analog circuits are powered down. when rxle returns low, the last va lues present on the boe[7:0] inputs are captured in the receive channel enable latch. the specific boe[7:0] input signal a ssociated with a receive channel is listed in ta b l e 1 0 . if a single channel of a bonded-pair or quad is disabled, this may prevent the other receive channels from bonding. if the disabled channel has been selected as the master channel for insert/delete functions, or for recovered clock select, these functions will not operate. any disabled receive channel will indicate a constant lfix output. when a disabled receive channel is re-enabled, the status of the associated lfix output and data on the parallel outputs for the associated channel may be indeterminate for up to 2 ms. transmit channels when oele is high, the signals on the boe[7:0] inputs directly control the power enables for the serial drivers. when a boe[x] input is high, the associated serial driver is enabled. when a boe[x] input is low, the associat ed serial driver is disabled and powered down. if both serial drivers of a channel are disabled, the internal logic for that transmit channel is powered down. when oele returns low, the values present on the boe[7:0] inputs are latched in the output enable latch. device reset state when the cyp(v)15g0401dxb is reset by assertion of trstz , the transmit enable and receive enable latches are both cleared, and the bist enable latch is preset. in this state, all transmit and receive channels are disabled, and bist is disabled on all channels. following a device reset, it is necessary to enable the transmit and receive channels used for normal operation. this can be done by sequencing the appropriate values on the boe[7:0] inputs while the oele and rxle signals are raised and lowered. for systems that do not require dynamic control of power, or want the device to power up in a fixed configuration, it is also possible to strap the rxle and oele control signals high to permanently enable their associated latches. connection of the associated boe[7:0] signals to a stable high will then enable the respective transmit and receive channels as soon as the trstz signal is deasserted. output bus each receive channel presents a 12-signal output bus consisting of an eight-bit data bus a three-bit status bus a parity bit. the bit assignments of the data and status are dependent on the setting of decmode. the bits are assigned as per ta b l e 1 7 . table 17. output register bit assignments [18] signal name decmode = low decmode = mid or high rxstx[2] (lsb) comdetx rxstx[2] rxstx[1] doutx[0] rxstx[1] rxstx[0] doutx[1] rxstx[0] rxdx[0] doutx[2] rxdx[0] rxdx[1] doutx[3] rxdx[1] rxdx[2] doutx[4] rxdx[2] rxdx[3] doutx[5] rxdx[3] rxdx[4] doutx[6] rxdx[4] rxdx[5] doutx[7] rxdx[5] rxdx[6] doutx[8] rxdx[6] rxdx[7] (msb) doutx[9] rxdx[7] notes 17. any change in the master device or channel must be followed by assertion of trstz to properly initialize the device. 18. the rxopx outputs are also driven from the associated output register, but their interpretation is under the separate contro l of parctl.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 29 of 55 when the 10b/8b decoder is bypassed (decmode = low), the framed 10-bit character and a single status bit (comdet) are presented at the receiver out put register. the status output indicates if the character in the output register is one of the selected framing characters. the bit usage and mapping of the external signals to the raw 10b transmission character is shown in ta b l e 1 8 . the comdetx outputs are high when the character in the output register for t he associated channel contains the selected framing character at the proper character boundary, and low for all other bit combinations. when the low-latency framer and half-rate receive port clocking are also enabled (rfmode = low, rxrate = high, and rxcksel low), the framer will stretch the recovered clock to the nearest 20-bit boundary such that the rising edge of rxclkx+ occurs when comdetx is present on the associated output bus. . when the cypress or alternat e mode framer is enabled and half-rate receive port clocking is also enabled (rfmode low and rxrate = high), the output clock is not modified when framing is detected, but a sing le pipeline stage may be added or subtracted from the data stream by the framer logic such that the rising edge of rxclkx+ occurs when comdetx is present on the associated output bus. this adjustment only occurs when the framer is enabled (rfen = high). when the framer is disabled, the clock boundaries are not adjusted, and comdetx may be asserted during the rising edge of rxclk? (if an odd number of characters were received following the initial framing). parity generation in addition to the eleven data and status bits that are presented by each channel, an rxopx parity output is also available on each channel. this allows the cyp(v)15g0401dxb to support odd parity generation for each channel. to handle a wide range of system environments, the cyp(v)15g0401dxb supports different forms of parity ge neration, including no parity. when the decoders are enabled (decmode low), parity can be generated on the rxdx[7:0] character the rxdx[7:0] character and rxstx[2:0] status. when the decoders are bypassed (decmode = low), parity can be generated on the rxdx[7:0] and rxstx[1:0] bits the rxdx[7:0] and rxstx[2:0] bits. these modes differ in the number of bits which are included in the parity calculation. only odd parity is provided which ensures that at least one bit of the data bus is always a logic-1. those bits covered by parity generation are listed in ta b l e 1 9 . parity generation is enabled through the three-level select parctl input. when parctl = low, parity checking is disabled, and the rxopx output s are all disabled (high-z). when parctl = mid (open) and the decoders are enabled (decmode low), odd parity is generated for the received and decoded character in the rxdx[7:0] signals and is presented on the associ ated rxopx output. when parctl = mid and the decoders are bypassed (decmode = low), odd parity is generated for the received and decoded character in the rxdx[7:0] and rxstx[1:0] bit positions. when parctl = high, odd parity is generated for the rxdx[7:0] and the associated rxstx[2:0] status bits. table 18. decoder bypass mode (decmode = low) signal name bus weight 10bit name rxstx[2] (lsb) comdetx rxstx[1] 2 0 a rxstx[0] 2 1 b rxdx[0] 2 2 c rxdx[1] 2 3 d rxdx[2] 2 4 e rxdx[3] 2 5 i rxdx[4] 2 6 f rxdx[5] 2 7 g rxdx[6] 2 8 h rxdx[7] (msb) 2 9 j table 19. output register parity generation signal name receive parity generate mode (parctl) low [19] mid high decmode = low decmode low rxstx[2] x [20] rxstx[1] x x rxstx[0] x x rxdx[0] x x x rxdx[1] x x x rxdx[2] x x x rxdx[3] x x x rxdx[4] x x x rxdx[5] x x x rxdx[6] x x x rxdx[7] x x x
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 30 of 55 receive status bits when the 10b/8b decoder is enabled (decmode low), each character presented at the output register includes three associated status bits. these bits are used to identify: if the contents of the data bus are valid the type of character present the state of receive bist operat ions (regardless of the state of decmode) character violations channel bonding status. these conditions normally overlap; e.g., a valid data character received with incorrect running disp arity is not reported as a valid data character. it is instead repo rted as a decoder violation of some specific type. this implies a hierarchy or priority level to the various status bit combinations. the hierarchy and value of each status is listed in table 20 when channel bonding enabled and in table 21 when channel bonding is disabled. within these status codes, t here are three modes of status reporting. the two data status reporting modes (type a and type b) are selectable through the rxmode[0] input. these status types allow compatibility with legacy systems, while allowing full reporting in new systems. these status values are generated in part by the receive synchronization state machine, and are listed in table 20 . the receive status when the channels are operated independently with chann el bonding disabled is shown in ta b l e 2 1 . the receive status when receive bist is enabled is shown in ta b l e 2 2 . receive synchronization state machine when channel bonding is enabled each receive channel contains a receive synchronization state machine that is enabled whenever the receive channels are configured for channel bonding (rxmode[1] low). this machine handles loss and recovery of bit, channel, and word framing, and part of the cont rol for channel bonding. separate forms of the state machine exist for the two different types of status reporting. when oper ated without channel bonding (rxmode[1] = low, rx modes 0 and 2), these state machines are disabled and characters are decoded directly as shown in ta b l e 2 1 . status type-a receive state machine this machine has four primary states: no_sync, resync, could_not_bond, and in_sync, as shown in figure 2 . the in_sync state can respond with mu ltiple status types, while others can respond with only one type. status type-b receive state machine this machine has four primary states: no_sync, resync, in_sync, and could_not_bond, as shown in figure 3 . some of these states can respond with only one status value, while others can respond with multiple status types. table 20. receive character status bits when channel bonding is enabled rxstx [2:0] priority description rx status a rx status b 000 7 normal character received . the valid data character on the output bus meets all the formatting require- ments of data characters listed in ta b l e 2 6 . 001 7 special code detected . the valid special character on the output bus meets all the formatting requirements of the special code characters listed in table 27 , but is not the presently se lected framing character or a decoder violation indication. 010 2 receive elasticity buffer underrun/overrun error . the receive buffer was not able to add/drop a k28.5 or framing character. channel lock detected . asserts when the bonded channels have detected resync within the allotted window. presented only on the last cycle before aligned data is presented. 011 5 framing character detected . this indicates that a character matching the patterns identified as a framing character (as selected by framchar) was detected. the decoded value of this character is present to the associated output bus. 100 4 codeword violation . the character on the output bus is a c0.7. this indicates that the received character cannot be decoded into any valid character. 101 1 loss of sync. the character on the bus is invalid, due to an event that has caused the receive channels to lose synchronization. when channel bonding is enabled, this indicates that one or more channels have either lost bit synchronization (loss of character framing), or that the bonded channels are no longer in proper character alignm ent. when the channels are operated independently (wit h the decoder enabled), this indicates a pll ou t of lock condition. loss of sync . the character on the bus is invalid, due to an event that has caused the receive channels to lose synchronization. when channel bonding is enabled, this indicates that one or more channels have either lost bit synchroniza tion (loss of character framing), or that the bonded channels are no longer in proper character alignment. when the channels are operated independently (with the decoder enabled), this indicates a pll out of lock condition. also used to indicate receive elasticity buffer underflow/ overflow errors. 110 6 running disparity error . the character on the output bus is a c4.7, c1.7, or c2.7.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 31 of 55 figure 2. status type-a recei ve state machine for channel bonding 111 3 resync . the receiver state machine is in the resynchronizati on state. in this state the data on the output bus reflects the presently decoded framchar. table 20. receive character status bits when channel bonding is enabled (continued) rxstx [2:0] priority description rx status a rx status b notes 19. receive path parity output drivers (rxo px) are disabled (high-z) when parctl = low. 20. when the decoder is bypassed (decmode = lo w) and bist is not enabled (receive bist latch output is high), rxstx[2] is driven to a logic-0, except when the character in the output buffer is a framing character. # state transition conditions 1 (bond_inh = low) and (deskew window expired) 2 framchar detected 3 (elasticity buffer under/overrun) or (rx pll loss of lock) or (any decoder error) 4 four consecutive framchar detected 5 (elasticity buffer under/overrun) or (rx pll loss of lock) or (four consecutive decoder errors) or (invalid minus valid = 4) 6 valid character other than a framchar no_sync rxstx=101 in_sync resync rxstx=111 could_not_bond rxstx=101 reset 2 1 4 5 4 3 6
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 32 of 55 figure 3. status type-b recei ve state machine for channel bonding # condition 1(bond_inh = low or master channel did not bond) and (deskew window expired) or (decoder error) 2 framchar detected 3 (elasticity buffer under/overrun) or (rx pll lo ss of lock) or (any decoder error) or (bond_inh = low) or (master channel did not bond) and (deskew window expired)) 4 four consecutive framchar detected 5 (elasticity buffer under/overrun) or (rx pll loss of lock) or (four consecutive decoder errors) or (invalid minus valid = 4) 6 (last framchar before a valid character) and (bonded to master channel) 7 (elasticity buffer under/ove rrun) or (rx pll loss of lock) no_sync in_sync resync rxstx=111 resync_in_sync rxstx=011 reset 2 2 3 4 rxstx = 101 5 rxstx = 010 6 6 rxstx = 010 rxstx = 111 1 7 rxstx = 101 4
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 33 of 55 bist status state machine when a receive path is enabled to look for and compare the received data stream with the bist pattern, the rxstx[2:0] bits identify the present state of the bist compare operation. the bist state machine has multiple states, as shown in figure 4 and table 22 . when the receive pll detects an out-of-lock condition, the bist st ate is forced to the start-of-bist state, regardless of the present st ate of the bist state machine. if the number of detected errors ever exceeds the number of valid matches by greater than si xteen, the state machine is forced to the wait_for_bist state where it monitors the interface for the first character (d0.0) of the next bist sequence. also, if the elasticity buffer ev er hits an overflow/underflow condition, the status is forced to the bist_start until the buffer is recentered (approximately nine character periods). to ensure compatibility between the source and destination systems when operating in bist modes, the sending and receiving ends of the link must us e the same receive clock setup. (rxcksel = mid or rxcksel mid). jtag support the cyp(v)15g0401dxb contains a jtag port to allow system level diagnosis of device interconnect. of the available jtag modes, only boundary scan is supported. this capability is present only on the lvttl inputs, lvttl outputs and the refclk clock input. the high-speed serial inputs and outputs are not part of the jtag test chain. jtag id the jtag device id for the cyp(v)15g0401dxb is ?1c800069?x. three-level select inputs each three-level select input reports as two bits in the scan register. these bits report the lo w, mid, and high state of the associated input as 00, 10, and 11, respectively. table 21. receive character status when channels are operated in independent mode (rxmode[1:0] = ll or lh) rxstx[2:0] priority type-a status type-b status 000 7 normal character received. the valid data character with the correct running disparity received 001 7 special code detected . special code other than the selected framing character or decoder violation received 010 2 receive elasticity buffer underrun/overrun error. the receive elasticity buffer was not able to add/drop a k28.5 or framing character. invalid 011 5 framing character detected. this indicates that a character matching the patterns identified as a framing character was detected. the decoded value of this character is present on the associ- ated output bus. 100 4 codeword violation. the character on the output bus is a c0 .7. this indicates that the received character cannot be decoded into any valid character. 101 1 pll out of lock indication 110 6 running disparity error. the character on the output bus is a c4.7, c1.7 or c2.7 111 3 invalid table 22. receive character status when channels are operated to receive bist data rxstx[2:0] priority receive bist status (receive bist = enabled) 000 7 bist data compare . character compared correctly 001 7 bist command compare . character compared correctly 010 2 bist last good . last character of bist sequence detected and valid. 011 5 reserved for test 100 4 bist last bad . last character of bist sequence detected invalid. 101 1 bist start . receive bist is enabled on this channel, but character compares have not yet commenced. this also indicates a pll out of lock condition, and elasticity buffer overflow/underflow conditions. 110 6 bist error . while comparing characters, a mismatch was found in one or more of the decoded character bits. 111 3 bist wait . the receiver is comparing characters. but has not yet found the start of bist character to enable the lfsr.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 34 of 55 figure 4. receive bist state machine receive bist detected low monitor data received rxstx = bist_start (101) no rx pll out of lock yes, rxstx = bist_command_compare (001) or bist_data_compare (000) compare next character auto-abort condition mismatch end-of-bist state yes, rxstx = bist_last_bad (100) yes no no, rxstx = bist_error (110) data or command match command rxstx = bist_command_compare (001) end-of-bist state data yes, rxstx = bist_last_good (010) no rxstx = bist_data_compare (000) elasticity buffer error start of bist detected rxstx = bist_wait (111) yes rxstx = bist_start (101) no
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 35 of 55 maximum ratings exceeding maximum ratings may s horten the useful life of the device. user guidelines are not tested. storage temperature... ............... .............. ... ?65c to +150c ambient temperature with power applied....?55c to +125c supply voltage to ground potenti al ...............?0.5 v to +3.8 v dc voltage applied to lvttl outputs in high-z state..................................... ?0.5 v to v cc + 0.5 v output current into lvttl outputs (low) .................. 60 ma dc input voltage .................................. ?0.5 v to v cc + 0.5 v static discharge voltage........................................... > 2000 v (per mil-std-883, method 3015) latch-up current ..................................................... > 200 ma power-up requirements the cyp(v)15g0401dxb requires one power-supply. the voltage on any input or i/o pin cannot exceed the power pin during power-up operating range range ambient temperature v cc commercial 0c to +70c +3.3 v 5% industrial ?40c to +85c +3.3 v 5% notes 21. tested one output at a time, output shorted for less than one second, less than 10% duty cycle. 22. this is the minimum difference in voltage between the true and complement inputs required to ensure detection of a logic-1 o r logic-0. a logic-1 exists when the true (+) input is more positive than the complement ( ? ) input. a logic-0 exists when the complement ( ? ) input is more positive than true (+) input. 23. the common mode range defines the allowable range of refclk+ and refclk ? when refclk+ = refclk ? . this marks the zero-crossing between the true and complement inputs as the signal switches between a logic-1 and a logic-0. cyp(v)15g0401dxb dc electri cal characteristics over the operating range parameter description test conditions min max unit lvttl-compatible outputs v oht output high voltage i oh = ? 4 ma, v cc = min 2.4 v cc v v olt output low voltage i ol = 4 ma, v cc = min 0 0.4 v i ost output short circuit current v out = 0 v [21] ?20 ?100 ma i ozl high-z output leakage current ?20 20 a lvttl-compatible inputs v iht input high voltage 2.0 v cc + 0.3 v v ilt input low voltage ?0.5 0.8 v i iht input high current refclk input, v in = v cc 1.5 ma other inputs, v in = v cc +40 a i ilt input low current refclk input, v in = 0.0 v ?1.5 ma other inputs, v in = 0.0 v ?40 a i ihpdt input high current with internal pull-down v in = v cc +200 a i ilput input low current with internal pull-up v in = 0.0 v ?200 a lvdiff inputs: refclk v diff [22] input differential voltage 400 v cc mv v ihhp highest input high voltage 1.2 v cc v v illp lowest input low voltage 0.0 v cc/2 v v comref [23] common mode range 1.0 v cc ? 1.2 v v three-level inputs v ihh three-level input high voltage min v cc max 0.87 * v cc v cc v v imm three-level input mid voltage min v cc max 0.47 * v cc 0.53 * v cc v v ill three-level input low voltage min v cc max 0.0 0.13 * v cc v i ihh input high current v in = v cc 200 a i imm input mid current v in = v cc /2 ?50 50 a i ill input low current v in = gnd ?200 a
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 36 of 55 test loads and waveforms differential cml serial outputs: outa1 , outa2 , outb1 , outb2 , outc1 , outc2 , outd1 , outd2 v ohc output high voltage (v cc referenced) 100 differential load v cc ? 0.5 v cc ? 0.2 v 150 differential load v cc ? 0.5 v cc ? 0.2 v v olc output low voltage (v cc referenced) 100 differential load v cc ? 1.4 v cc ? 0.7 v 150 differential load v cc ? 1.4 v cc ? 0.7 v v odif output differential voltage |(out+) ? (out?)| 100 differential load 450 900 mv 150 differential load 560 1000 mv differential serial line receiver inputs: ina1 , ina2 , inb1 , inb2 , inc1 , inc2 , ind1 , ind2 v diffs [22] input differential voltage |(in+) ? (in ? )| 100 1200 mv v ihe highest input high voltage v cc v v ile lowest input low voltage v cc ? 2.0 v i ihe input high current v in = v ihe max 1350 a i ile input low current v in = v ile min ?700 a v com [24, 25] common mode input range v cc ? 1.95 v cc ? 0.05 v cyp(v)15g0401dxb dc electri cal characteristics over the operating range (continued) parameter description test conditions min max unit power supply typ [26] max [27] i cc power supply current refclk = max commercial 870 1060 ma industrial 1100 ma i cc power supply current refclk = 125 mhz commercial 830 1060 ma industrial 1100 ma notes 24. the common mode range defines the allowable range of input+ and input ? when input+ = input ? . this marks the zero-crossing between the true and comple- ment inputs as the signal switches between a logic-1 and a logic-0. 25. not applicable for ac-coupled interfac es. for ac-coupled interfaces, v diffs requirement still needs to be satisfied. 26. maximum i cc is measured with v cc = max, rxcksel = low, with all tx and rx channels and serial line drivers enabled, sending a continuous alternating 01 pattern to the associated receive channel, and outputs unloaded. 27. typical i cc is measured under similar conditions except with v cc = 3.3 v, t a = 25c, rxcksel = low, with all tx and rx channels enabled and one serial line driver per transmit channel sending a continuous alternating 01 pa ttern to the associated receive channel. the redundant output s on each channel are powered down and the parallel outputs are unloaded. 28. cypress uses constant current (ate) load configurations and fo rcing functions. this figure is for reference only. 5-pf diffe rential load reflects tester capacitance, and is recommended at low data rates only. 29. the lvttl switching threshold is 1.4 v. all timing references are made relative to the point where the signal edges crosses the threshold voltage. 30. tested initially and after any design or process changes that may affect these parameters, but not 100% tested. 2.0 v 0.8 v gnd 2.0 v 0.8 v 80% 20% 80% 20% r l 3.0 v v th = 1.4 v 270 ps 270 ps [29] v th = 1.4 v 3.3 v r1 r2 r1 = 590 r2 = 435 (includes fixture and probe capacitance) c l 7 pf (a) lvttl output test load r l = 100 (b) cml output test load c l (c) lvttl input test waveform (d) cml/lvpecl inpu t test waveform 1 ns 1 ns v ihe v ile v ihe v ile [28] [28]
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 37 of 55 cyp(v)15g0401dxb ac characteristics over the operating range parameter description min max unit cyp(v)15g0401dxb transmitter lvttl switching characteristics over the operating range f ts txclkx clock frequency 19.5 150 mhz t txclk txclkx period 6.66 51.28 ns t txclkh [30] txclkx high time 2.2 ns t txclkl [30] txclkx low time 2.2 ns t txclkr [30, 31, 32] txclkx rise time 0.2 1.7 ns t txclkf [30, 31, 32] txclkx fall time 0.2 1.7 ns t txds transmit data set-up time to txclkx (txcksel low) 1.7 ns t txdh transmit data hold time from txclkx (txcksel low) 0.8 ns f tos txclko clock frequency = 1x or 2x refclk frequency 20 150 mhz t txclko txclko period 6.66 50 ns t txclkod+ txclko+ duty cycle with 60% high time ?1.0 +0.5 ns t txclkod? txclko? duty cycle with 40% high time ?0.5 +1.0 ns cyp(v)15g0401dxb receiver lv ttl switching characteristics over the operating range f rs rxclkx clock output frequency 9.75 150 mhz t rxclkp rxclkx period 6.66 102.56 ns t rxclkh rxclkx high time (rxrate = low) 2.33 [30] 26.64 ns rxclkx high time (rxrate = high) 5.66 52.28 ns t rxclkl rxclkx low time (rxrate = low) 2.33 [30] 26.64 ns rxclkx low time (rxrate = high) 5.66 52.28 ns t rxclkd rxclkx duty cycle centered at 50% ?1.0 +1.0 ns t rxclkr [30] rxclkx rise time 0.3 1.2 ns t rxclkf [30] rxclkx fall time 0.3 1.2 ns t rxdv? [33] status and data valid time to rxclkx (rxcksel high or mid) 5ui ? 1.5 ns status and data valid time to rxclkx (half rate recovered clock) 5ui ? 1.0 ns t rxdv+ [33] status and data valid time from rxclkx (rxcksel high or mid) 5ui ? 1.8 ns status and data valid time from rxclkx (half rate recovered clock) 5ui ? 2.3 ns notes 31. the ratio of rise time to falling time must not vary by greater than 2:1. 32. for a given operating frequency, neither rise or fall specific ation can be greater than 20% of the clock-cycle period or the data sheet maximum time. 33. parallel data output specifications are only valid if a ll inputs or outputs are loaded with similar dc and ac loads. 34. the duty cycle specification is a simultaneous condition with the t refh and t refl parameters. this means that at faster character rates the refclk duty cycle cannot be as large as 30% ? 70%. 35. since this timing parameter is greater than the minimum time period of refclk it sets an upper limit to the frequency in whi ch refclkx can be used to clock the receive data out of the output register. for predictable timing, users can use this parameter only if refclk period is greater than sum of t rrefda and set-up time of the upstream device. when this condition is not true, rxclkc or rxclka (a buffered or delayed version of refclk when rxcks elx = low) could be used to clock the receive data out of the device.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 38 of 55 cyp(v)15g0401dxb refclk switching characteristics over the operating range f ref refclk clock frequency 19.5 150 mhz t refclk refclk period 6.66 51.28 ns t refh refclk high time (txrate = high) 5.9 ns refclk high time (txrate = low) 2.9 [30] ns t refl refclk low time (txrate = high) 5.9 ns refclk low time (txrate = low) 2.9 [30] ns t refd [34] refclk duty cycle 30 70 % t refr [30, 31, 32] refclk rise time (20% ? 80%) 2 ns t reff [30, 31, 32] refclk fall time (20% ? 80%) 2 ns t trefds transmit data setup time to refclk (txcksel = low) 1.7 ns t trefdh transmit data hold time from refclk (txcksel = low) 0.8 ns t rrefda [35] receive data access time from refclk (rxcksel = low) 9.5 ns t rrefdv receive data valid time from refclk (rxcksel = low) 2.5 ns t refadv? received data valid time to rxclka (rxcksel = low) 10ui ? 4.7 ns t refadv+ received data valid time from rxclka (rxcksel = low) 0.5 ns t refcdv? received data valid time to rxclkc (rxcksel = low) 10ui ? 4.3 ns t refcdv+ received data valid time fr om rxclkc (rxcksel = low) ?0.2 ns t refrx [12] refclk frequency referenced to received clock period ?1500 +1500 ppm cyp(v)15g0401dxb transmit serial ou tputs and tx pll characteristics over the operating range parameter description condition min max unit t b bit time 5100 666 ps t rise [30] cml output rise time 20% ? 80% (cml test load) spdsel = high 60 270 ps spdsel = mid 100 500 ps spdsel = low 180 1000 ps t fall [30] cml output fall time 80% ? 20% (cml test load) spdsel = high 50 270 ps spdsel = mid 100 500 ps spdsel = low 180 1000 ps t dj [30, 36, 38] deterministic jitter (peak-peak) ieee 802.3z [39] 25 ps t rj [30, 37, 38] random jitter ( ) ieee 802.3z [39] 11 ps t txlock transmit pll lock to refclk 200 us cyp(v)15g0401dxb receive serial inputs and cdr pll characteristics over the operating range t rxlock receive pll lock to input data stream (cold start) 376k ui [40] receive pll lock to input data stream 376k ui t rxunlock receive pll unlock rate 46 ui t jtol [38] to ta l j i t t e r to l e r a n c e ieee 802.3z [39] 600 ps t djtol [38 ] deterministic jitter tolerance ieee 802.3z [39] 370 ps cyp(v)15g0401dxb ac characteristics over the operating range (continued) parameter description min max unit notes 36. while sending continuous k28.5s, outputs loaded to a balanced 100 load, measured at the cross point of differential outputs, over the operating range. 37. while sending continuous k28.7s, after 100,000 samples measured at the cross point of differential outputs, time referenced to refclk input, over the operating range. 38. total jitter is calculated at an assumed ber of 1e ?12. hence: total jitter (t j ) = (t rj * 14) + t dj . 39. also meets all jitter generation and jitter tolerance require ments as specified by smpte 259m , smpte 292m, cpri, escon, fico n, fibre channel and dvb-asi. 40. receiver ui (unit interval) is calculated as 1/(f ref * 20) (when rxrate = high) or 1/(f ref * 10) (when rxrate = low) if no data is being received, or 1/(f ref * 20) (when rxrate = high) or 1/(f ref * 10) (when rxrate = low) of the remote transmitter if data is being received. in an operating link this is equivalent to t b .
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 39 of 55 capacitance [30] parameter description test conditions max unit c inttl ttl input capacitance t a = 25c, f 0 = 1 mhz, v cc = 3.3 v 7 pf c inpecl pecl input capacitance t a = 25c, f 0 = 1 mhz, v cc = 3.3 v 4 pf cyp(v)15g0401dxb hotlink ii tran smitter switching waveforms txclkx txdx[7:0], txctx[1:0], t txdh t txds t txclk t txclkh t txclkl transmit interface write timing txopx, scsel txcksel low refclk transmit interface write timing t refclk t refh t refl t trefds t trefdh txcksel = low txrate = low txdx[7:0], txctx[1:0], txopx, scsel t trefdh transmit interface write timing txcksel = low txrate = high refclk t refclk t refl t refh note 41 txdx[7:0], txctx[1:0], txopx, scsel t trefds t trefds t trefdh note 41 txclko t txclko transmit interface txclko timing txcksel = low txrate = high refclk t refclk t refl t refh note 42 note 43 t txclkod+ t txclkod ?
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 40 of 55 cyp(v)15g0401dxb hotlink ii tran smitter switching waveforms (continued) txclko t txclko t txclkod+ t txclkod ? transmit interface txclko timing txcksel = low txrate = low refclk note 42 note 43 t refclk t refh t refl notes 41. when refclk is configured for half-rate operation (txrate = hi gh) and data is captured using refclk instead of a txclkx cloc k (txcksel = low), data is captured using both the rising and falling edges of refclk. 42. the txclko output is at twice the rate of refclk when txrate = high and same rate as refclk when txrate = low. txclko does n ot follow the duty cycle of refclk. 43. the rising edge of txclko output has no direct phase relationship to the refclk input. switching waveforms for the cyp( v)15g0401dxb hotlink ii receiver refclk rxdx[7:0], rxstx[2:0], t rrefdv t refclk t refh t refl receive interface read timing rxopx rxcksel = low txrate = low rxclka rxclkc t refadv+ t refadv ? t refcdv ? t refcdv+ note 44 t rrefda refclk rxdx[7:0], rxstx[2:0], t rrefdv t refclk t refh t refl receive interface read timing rxopx rxcksel = low txrate = high t rrefda rxclka rxclkc t refadv+ t refadv ? t refcdv ? t refcdv+ note 44 note 45 t rrefda t rrefdv
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 41 of 55 switching waveforms for the cyp( v)15g0401dxb hotlink ii receiver (continued) rxclkx+ rxdx[7:0], rxstx[2:0], t rxdv+ t rxclkp t rxclkh t rxclkl receive interface read timing rxopx rxcksel = high or mid rxrate = low rxclkx ? t rxdv ? rxclkx+ rxdx[7:0], rxstx[2:0], t rxdv+ t rxclkp t rxclkh t rxclkl receive interface read timing rxopx rxcksel = high or mid rxrate = high rxclkx ? t rxdv ? notes 44. rxclka and rxclkc are delayed in phase from refclk, and are different in phase from each other. 45. when operated with a half-rate refclk, the setup and hold specifications for data relative to rxclka and rxclkc are relative to both rising and falling edges of the respective clock output. table 23. package coordinate signal allocation ball id signal name signal type ball id signal name signal type ball id signal name signal type a01 inc1? cml in c04 inselb lvttl in e19 vcc power a02 outc1? cml out c05 vcc power e20 vcc power a03 inc2? cml in c06 parctl 3-level sel f01 txperc lvttl out a04 outc2? cml out c07 sdasel 3-level sel f02 txopc lvttl in pu a05 vcc power c08 gnd ground f03 txdc[0] lvttl in a06 ind1? cml in c09 boe[7] lvttl in pu f04 rxcksel 3-level sel a07 outd1? cml out c10 boe[5] lvttl in pu f17 bistle lvttl in pu a08 gnd ground c11 boe[3] lvttl in pu f18 rxstb[1] lvttl out a09 ind2? cml in c12 boe[1] lvttl in pu f19 rxopb lvttl 3-s out a10 outd2? cml out c13 gnd ground f20 rxstb[0] lvttl out a11 ina1? cml in c14 txmode[0] 3-level sel g01 txdc[7] lvttl in
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 42 of 55 a12 outa1? cml out c15 rxmode[0] 3-level sel g02 txcksel 3-level sel a13 gnd ground c16 vcc power g03 txdc[4] lvttl in a14 ina2? cml in c17 txrate lvttl in pd g04 txdc[1] lvttl in a15 outa2? cml out c18 rxrate lvttl in pd g17 decmode 3-level sel a16 vcc power c19 lpen lvttl in pd g18 oele lvttl in pu a17 inb1? cml in c20 tdo lvttl 3-s out g19 framchar 3-level sel a18 outb1? cml out d01 tclk lvttl in pd g20 rxdb[1] lvttl out a19 inb2? cml in d02 trstz lvttl in pu h01 gnd ground a20 outb2? cml out d03 inseld lvttl in h02 gnd ground b01 inc1+ cml in d04 insela lvttl in h03 gnd ground b02 outc1+ cml out d05 vcc power h04 gnd ground b03 inc2+ cml in d06 rfmode 3-level sel h17 gnd ground b04 outc2+ cml out d07 spdsel 3-level sel h18 gnd ground b05 vcc power d08 gnd ground h19 gnd ground b06 ind1+ cml in d09 boe[6] lvttl in pu h20 gnd ground b07 outd1+ cml out d10 boe[4] lvttl in pu j01 txctc[1] lvttl in b08 gnd ground d11 boe[2] lvttl in pu j02 txdc[5] lvttl in b09 ind2+ cml in d12 boe[0] lvttl in pu j03 txdc[2] lvttl in b10 outd2+ cml out d13 gnd ground j04 txdc[3] lvttl in b11 ina1+ cml in d14 txmode[1] 3-level sel j17 rxstb[2] lvttl out b12 outa1+ cml out d15 rxmode[1] 3-level sel j18 rxdb[0] lvttl out b13 gnd ground d16 vcc power j19 rxdb[5] lvttl out b14 ina2+ cml in d17 bond_inh lvttl in pu j20 rxdb[2] lvttl out b15 outa2+ cml out d18 rxle lvttl in pu k01 rxdc[2] lvttl out b16 vcc power d19 rfen lvttl in pd k02 rxclkc? lvttl out b17 inb1+ cml in d20 master lvttl in pd k03 txctc[0] lvttl in b18 outb1+ cml out e01 vcc power k04 lfic lvttl out b19 inb2+ cml in e02 vcc power k17 rxdb[3] lvttl out b20 outb2+ cml out e03 vcc power k18 rxdb[4] lvttl out c01 tdi lvttl in pu e04 vcc power k19 rxdb[7] lvttl out c02 tms lvttl in pu e17 vcc power k20 rxclkb+ lvttl i/o pd c03 inselc lvttl in e18 vcc power l01 rxdc[3] lvttl out l02 rxclkc+ lvttl i/o pd t17 vcc power v20 rxsta[0] lvttl out l03 txclkc lvttl in pd t18 vcc power w01 txdd[5] lvttl in l04 txdc[6] lvttl in t19 vcc power w02 txdd[7] lvttl in l17 rxdb[6] lvttl out t20 vcc power w03 lfid lvttl out l18 lfib lvttl out u01 txdd[0] lvttl in w04 rxclkd? lvttl out l19 rxclkb? lvttl out u02 txdd[1] lvttl in w05 vcc power l20 txdb[6] lvttl in u03 txdd[2] lvttl in w06 rxdd[4] lvttl out m01 rxdc[4] lvttl out u04 txctd[1] lvttl in w07 rxstd[1] lvttl out m02 rxdc[5] lvttl out u05 vcc power w08 gnd ground table 23. package coordinate signal allocation (continued) ball id signal name signal type ball id signal name signal type ball id signal name signal type
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 43 of 55 m03 rxdc[7] lvttl out u06 rxdd[2] lvttl out w09 txclko? lvttl out m04 rxdc[6] lvttl out u07 rxdd[1] lvttl out w10 txrst lvttl in pu m17 txctb[1] lvttl in u08 gnd ground w11 txopa lvttl in pu m18 txctb[0] lvttl in u09 rxopd lvttl 3-s out w12 scsel lvttl in pd m19 txdb[7] lvttl in u10 bond_all open dr w13 gnd ground m20 txclkb lvttl in pd u11 refclk? pecl in w14 txda[2] lvttl in n01 gnd ground u12 txda[1] lvttl in w15 txda[6] lvttl in n02 gnd ground u13 gnd ground w16 vcc power n03 gnd ground u14 txda[4] lvttl in w17 lfia lvttl out n04 gnd ground u15 txcta[0] lvttl in w18 rxclka? lvttl out n17 gnd ground u16 vcc power w19 rxda[4] lvttl out n18 gnd ground u17 rxda[2] lvttl out w20 rxda[1] lvttl out n19 gnd ground u18 rxopa lvttl out y01 txdd[6] lvttl in n20 gnd ground u19 rxsta[2] lvttl out y02 txclkd lvttl in p01 rxdc[1] lvttl out u20 rxsta[1] lvttl out y03 rxdd[7] lvttl out p02 rxdc[0] lvttl out v01 txdd[3] lvttl in y04 rxclkd+ lvttl i/o pd p03 rxstc[0] lvttl out v02 txdd[4] lvttl in y05 vcc power p04 rxstc[1] lvttl out v03 txctd[0] lvttl in y06 rxdd[5] lvttl out p17 txdb[5] lvttl in v04 rxdd[6] lvttl out y07 rxdd[0] lvttl out p18 txdb[4] lvttl in v05 vcc power y08 gnd ground p19 txdb[3] lvttl in v06 rxdd[3] lvttl out y09 txclko+ lvttl out p20 txdb[2] lvttl in v07 rxstd[0] lvttl out y10 n/c no connect r01 rxstc[2] lvttl out v08 gnd ground y11 txclka lvttl in pd r02 rxopc lvttl 3-s out v09 rxstd[2] lvttl out y12 txpera lvttl out r03 txperd lvttl out v10 bondst[0] open dr y13 gnd ground r04 txopd lvttl in pu v11 refclk+ pecl in y14 txda[0] lvttl in r17 txdb[1] lvttl in v12 bondst[1] open dr y15 txda[5] lvttl in r18 txdb[0] lvttl in v13 gnd ground y16 vcc power r19 txopb lvttl in pu v14 txda[3] lvttl in y17 txcta[1] lvttl in r20 txperb lvttl out v15 txda[7] lvttl in y18 rxclka+ lvttl i/o pd t01 vcc power v16 vcc power y19 rxda[6] lvttl out t02 vcc power v17 rxda[7] lvttl out y20 rxda[5] lvttl out t03 vcc power v18 rxda[3] lvttl out t04 vcc power v19 rxda[0] lvttl out table 23. package coordinate signal allocation (continued) ball id signal name signal type ball id signal name signal type ball id signal name signal type
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 44 of 55 x3.230 codes and notation conventions information to be transmitted over a serial link is encoded eight bits at a time into a 10-bit transmission character and then sent serially, bit by bit. information received over a serial link is collected ten bits at a time, and those transmission characters that are used for data characte rs are decoded into the correct eight-bit codes. the 10-bit tr ansmission code supports all 256 eight-bit combinations. some of the remaining transmission characters (special characters ) are used for functions other than data transmission. the primary use of a transmission code is to improve the trans- mission characteristics of a serial link. the encoding defined by the transmission code ensures t hat sufficient transitions are present in the serial bit stream to make clock recovery possible at the receiver. such encoding also greatly increases the likelihood of detecting any single or multiple bit errors that may occur during transmission and reception of information. in addition, some special characters of the transmission code selected by fibre channel standard contain a distinct and easily recognizable bit pattern that assists the receiver in achieving character alignment on the incoming bit stream. notation conventions the documentation for the 8b/10b transmission code uses letter notation for the bits in an eight-bit byte. fibre channel standard notation uses a bit notation of a, b, c, d, e, f, g, h for the eight-bit byte for the raw eigh t-bit data, and the letters a, b, c, d, e, i, f, g, h, j for enc oded 10-bit data. there is a correspon- dence between bit a and bit a, b and b, c and c, d and d, e and e, f and f, g and g, and h and h. bits i and j are derived, respec- tively, from (a,b,c,d,e) and (f,g,h). the bit labeled a in the description of the 8b/10b transmission code corresponds to bit 0 in the numbering scheme of the fc-2 specification, b corresponds to bit 1, as shown below. fc-2 bit designation?76543210 hotlink d/q designation?76543210 8b/10b bit designation?hgfedcba to clarify this correspondence, the following example shows the conversion from an fc-2 valid data byte to a transmission character. fc-2 45h bits: 7654 3210 0100 0101 converted to 8b/10b notation, not e that the order of bits has been reversed): data byte name d5.2 bits: abcde fgh 10100 010 translated to a transmission character in the 8b/10b trans- mission code: bits: abcdei fghj 101001 0101 each valid transmission character of the 8b/10b transmission code has been given a name using the following convention: cxx.y, where c is used to show whether the transmission character is a data character (c is set to d, and sc/d = low) or a special character (c is set to k, and sc/d = high). when c is set to d, xx is the decimal value of the binary number composed of the bits e, d, c, b, and a in that order, and the y is the decimal value of the binary number compos ed of the bits h, g, and f in that order. when c is set to k, xx and y are derived by comparing the encoded bit patterns of the special character to those patterns derived from encoded valid data bytes and selecting the names of the patterns most similar to the encoded bit patterns of the special character. under the above conventions, the transmission character used for the examples above, is refe rred to by the name d5.2. the special character k29.7 is so named because the first six bits (abcdei) of this character make up a bit pattern similar to that resulting from the encoding of the unencoded 11101 pattern (29), and because the second four bits (fghj) make up a bit pattern similar to that resulting from the encoding of the unencoded 111 pattern (7). this definition of the 10-bit transmission code is based on the following references. a.x. widmer and p.a. frana szek. ?a dc-balanced, parti- tioned-block, 8b/10b transmission code? ibm journal of research and development, 27, no. 5: 440-451 (september, 1983). u.s. patent 4,486,739. peter a. franaszek and albert x. widmer. ?byte-oriented dc balanced (0.4) 8b/10b partitioned block transmission code? (december 4, 1984). fibre channel physical and signaling interface (ans x3.230-1994 ansi fc-ph standard). ibm enterprise systems archit ecture/390 escon i/o interface (document number sa22-7202). 8b/10b transmission code the following information describes how the tables are used for both generating valid transmission characters (encoding) and checking the validity of received transmission characters (decoding). it also specifies the ordering rules to be followed when transmitting the bits within a character and the characters within any higher-level constructs specified by a standard. transmission order within the definition of the 8b/10b transmission code, the bit positions of the transmission charac ters are labeled a, b, c, d, e, i, f, g, h, j. bit ?a ? is transmitted first follow ed by bits b, c, d, e, i, f, g, h, and j in that order. note that bit i is transmitted between bit e and bit f, rather than in alphabetical order. valid and invalid transmission characters the following tables define the valid data characters and valid special characters (k characters), respectively. the tables are used for both generating valid transmission characters and checking the validity of received transmission characters. in the tables, each valid-data-byte or special-character-code entry has two columns that represent two transmission characters. the two columns correspond to the current value of the running disparity. running disparity is a binary parameter with either a negative (?) or positive (+) value. after powering on, the transmitter may assume either a positive or negative value for its initial running disparity. upon trans- mission of any transmission characte r, the transmitter will select the proper version of the transmission character based on the current running disparity value, and the transmitter calculates a
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 45 of 55 new value for its running disparity based on the contents of the transmitted character. special character codes c1.7 and c2.7 can be used to force the transmission of a specific special character with a specific running disparity as required for some special sequences in x3.230. after powering on, the receiver may assume either a positive or negative value for its initial running disparity. upon reception of any transmission character, the receiver decides whether the transmission character is valid or invalid according to the following rules and tables and calculates a new value for its running disparity based on the contents of the received character. the following rules for running disparity are used to calculate the new running-disparity value for transmission characters that have been transmitted and received. running disparity for a transmission character is calculated from sub-blocks, where the first six bits (abcdei) form one sub-block and the second four bits (fghj) form the other sub-block. running disparity at the beginning of the six-bit sub-block is the running disparity at the end of the previous transmission character. running disparity at the beginning of the four-bit sub-block is the runn ing disparity at the end of the six-bit sub-block. running disparity at the end of the trans- mission character is the running disparity at the end of the four-bit sub-block. running disparity for the sub-blocks is calculated as follows: 1. running disparity at the end of an y sub-block is positive if the sub-block contains more ones than zeros. it is also positive at the end of the six-bit sub-blo ck if the six-bit sub-block is 000111, and it is positive at the end of the four-bit sub-block if the four-bit sub-block is 0011. 2. running disparity at the end of an y sub-block is negative if the sub-block contains more zeros than ones. it is also negative at the end of the six-bit sub-block if the six-bit sub-block is 111000, and it is negative at the end of the six-bit sub-block if the four-bit sub-block is 1100. 3. otherwise, running disparity at the end of the sub-block is the same as at the beginning of the sub-block. use of the tables for generating transmission characters the appropriate entry in ta b l e 2 6 for the valid data byte or ta b l e 2 7 for special character byte identify which transmission character is to be generated. th e current value of the trans- mitter?s running disparity is us ed to select the transmission character from its corresponding column. for each trans- mission character transmitted, a new value of the running disparity is calculated. this new value is used as the trans- mitter?s current running disparity for the next valid data byte or special character byte to be encoded and transmitted. table 24 shows naming notations and examples of valid transmission characters. use of the tables for checking the validity of received transmission characters the column corresponding to the current value of the receiver?s running disparity is searched for the received transmission character. if the received transmission character is found in the proper column, then the transmission character is valid and the associated data byte or special character code is determined (decoded). if the received transmission character is not found in that column, then the transmissi on character is invalid. this is called a code violation. independent of the transmission character?s validity, the received transmission character is used to calculate a new value of running disparity. the new value is used as the receiver?s current running disparity for the next received transmission character. detection of a code violation does not necessarily show that the transmission character in which the code violation was detected is in error. code violations may result from a prior error that altered the running disparity of the bit stream which did not result in a detectable error at the transmission character in which the error occurred. ta b l e 2 5 shows an example of this behavior. table 24. valid transmission characters data byte name d in or q out hex value 765 43210 d0.0 000 00000 00 d1.0 000 00001 01 d2.0 000 00010 02 . . . . . . . . d5.2 010 00101 45 . . . . . . . . d30.7 111 11110 fe d31.7 111 11111 ff table 25. code violations resulting from prior errors rd character rd character rd character rd transmitted data character ? d21.1 ? d10.2 ? d23.5 + transmitted bit stream ? 101010 1001 ? 010101 0101 ? 111010 1010 + bit stream after error ? 101010 1011 + 010101 0101 + 111010 1010 + decoded data character ? d21.0 + d10.2 + code violation +
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 46 of 55 table 26. valid data characters (txctx[0] = 0, rxstx[2:0] = 000) data byte name bits current rd ? current rd+ data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj hgf edcba abcdei fghj abcdei fghj d0.0 000 00000 100111 0100 011000 1011 d0.1 001 00000 100111 1001 011000 1001 d1.0 000 00001 011101 0100 100010 1011 d1.1 001 00001 011101 1001 100010 1001 d2.0 000 00010 101101 0100 010010 1011 d2.1 001 00010 101101 1001 010010 1001 d3.0 000 00011 110001 1011 110001 0100 d3.1 001 00011 110001 1001 110001 1001 d4.0 000 00100 110101 0100 001010 1011 d4.1 001 00100 110101 1001 001010 1001 d5.0 000 00101 101001 1011 101001 0100 d5.1 001 00101 101001 1001 101001 1001 d6.0 000 00110 011001 1011 011001 0100 d6.1 001 00110 011001 1001 011001 1001 d7.0 000 00111 111000 1011 000111 0100 d7.1 001 00111 111000 1001 000111 1001 d8.0 000 01000 111001 0100 000110 1011 d8.1 001 01000 111001 1001 000110 1001 d9.0 000 01001 100101 1011 100101 0100 d9.1 001 01001 100101 1001 100101 1001 d10.0 000 01010 010101 1011 010101 0100 d10.1 001 01010 010101 1001 010101 1001 d11.0 000 01011 110100 1011 110100 0100 d11.1 001 01011 110100 1001 110100 1001 d12.0 000 01100 001101 1011 001101 0100 d12.1 001 01100 001101 1001 001101 1001 d13.0 000 01101 101100 1011 101100 0100 d13.1 001 01101 101100 1001 101100 1001 d14.0 000 01110 011100 1011 011100 0100 d14.1 001 01110 011100 1001 011100 1001 d15.0 000 01111 010111 0100 101000 1011 d15.1 001 01111 010111 1001 101000 1001 d16.0 000 10000 011011 0100 100100 1011 d16.1 001 10000 011011 1001 100100 1001 d17.0 000 10001 100011 1011 100011 0100 d17.1 001 10001 100011 1001 100011 1001 d18.0 000 10010 010011 1011 010011 0100 d18.1 001 10010 010011 1001 010011 1001 d19.0 000 10011 110010 1011 110010 0100 d19.1 001 10011 110010 1001 110010 1001 d20.0 000 10100 001011 1011 001011 0100 d20.1 001 10100 001011 1001 001011 1001 d21.0 000 10101 101010 1011 101010 0100 d21.1 001 10101 101010 1001 101010 1001 d22.0 000 10110 011010 1011 011010 0100 d22.1 001 10110 011010 1001 011010 1001 d23.0 000 10111 111010 0100 000101 1011 d23.1 001 10111 111010 1001 000101 1001 d24.0 000 11000 110011 0100 001100 1011 d24.1 001 11000 110011 1001 001100 1001 d25.0 000 11001 100110 1011 100110 0100 d25.1 001 11001 100110 1001 100110 1001 d26.0 000 11010 010110 1011 010110 0100 d26.1 001 11010 010110 1001 010110 1001 d27.0 000 11011 110110 0100 001001 1011 d27.1 001 11011 110110 1001 001001 1001 d28.0 000 11100 001110 1011 001110 0100 d28.1 001 11100 001110 1001 001110 1001 d29.0 000 11101 101110 0100 010001 1011 d29.1 001 11101 101110 1001 010001 1001 d30.0 000 11110 011110 0100 100001 1011 d30.1 001 11110 011110 1001 100001 1001 d31.0 000 11111 101011 0100 010100 1011 d31.1 001 11111 101011 1001 010100 1001
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 47 of 55 d0.2 010 00000 100111 0101 011000 0101 d0.3 011 00000 100111 0011 011000 1100 d1.2 010 00001 011101 0101 100010 0101 d1.3 011 00001 011101 0011 100010 1100 d2.2 010 00010 101101 0101 010010 0101 d2.3 011 00010 101101 0011 010010 1100 d3.2 010 00011 110001 0101 110001 0101 d3.3 011 00011 110001 1100 110001 0011 d4.2 010 00100 110101 0101 001010 0101 d4.3 011 00100 110101 0011 001010 1100 d5.2 010 00101 101001 0101 101001 0101 d5.3 011 00101 101001 1100 101001 0011 d6.2 010 00110 011001 0101 011001 0101 d6.3 011 00110 011001 1100 011001 0011 d7.2 010 00111 111000 0101 000111 0101 d7.3 011 00111 111000 1100 000111 0011 d8.2 010 01000 111001 0101 000110 0101 d8.3 011 01000 111001 0011 000110 1100 d9.2 010 01001 100101 0101 100101 0101 d9.3 011 01001 100101 1100 100101 0011 d10.2 010 01010 010101 0101 010101 0101 d10.3 011 01010 010101 1100 010101 0011 d11.2 010 01011 110100 0101 110100 0101 d11.3 011 01011 110100 1100 110100 0011 d12.2 010 01100 001101 0101 001101 0101 d12.3 011 01100 001101 1100 001101 0011 d13.2 010 01101 101100 0101 101100 0101 d13.3 011 01101 101100 1100 101100 0011 d14.2 010 01110 011100 0101 011100 0101 d14.3 011 01110 011100 1100 011100 0011 d15.2 010 01111 010111 0101 101000 0101 d15.3 011 01111 010111 0011 101000 1100 d16.2 010 10000 011011 0101 100100 0101 d16.3 011 10000 011011 0011 100100 1100 d17.2 010 10001 100011 0101 100011 0101 d17.3 011 10001 100011 1100 100011 0011 d18.2 010 10010 010011 0101 010011 0101 d18.3 011 10010 010011 1100 010011 0011 d19.2 010 10011 110010 0101 110010 0101 d19.3 011 10011 110010 1100 110010 0011 d20.2 010 10100 001011 0101 001011 0101 d20.3 011 10100 001011 1100 001011 0011 d21.2 010 10101 101010 0101 101010 0101 d21.3 011 10101 101010 1100 101010 0011 d22.2 010 10110 011010 0101 011010 0101 d22.3 011 10110 011010 1100 011010 0011 d23.2 010 10111 111010 0101 000101 0101 d23.3 011 10111 111010 0011 000101 1100 d24.2 010 11000 110011 0101 001100 0101 d24.3 011 11000 110011 0011 001100 1100 d25.2 010 11001 100110 0101 100110 0101 d25.3 011 11001 100110 1100 100110 0011 d26.2 010 11010 010110 0101 010110 0101 d26.3 011 11010 010110 1100 010110 0011 d27.2 010 11011 110110 0101 001001 0101 d27.3 011 11011 110110 0011 001001 1100 d28.2 010 11100 001110 0101 001110 0101 d28.3 011 11100 001110 1100 001110 0011 d29.2 010 11101 101110 0101 010001 0101 d29.3 011 11101 101110 0011 010001 1100 d30.2 010 11110 011110 0101 100001 0101 d30.3 011 11110 011110 0011 100001 1100 d31.2 010 11111 101011 0101 010100 0101 d31.3 011 11111 101011 0011 010100 1100 table 26. valid data characters (txctx[0] = 0, rxstx[2:0] = 000) (continued) data byte name bits current rd ? current rd+ data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj hgf edcba abcdei fghj abcdei fghj
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 48 of 55 d0.4 100 00000 100111 0010 011000 1101 d0.5 101 00000 100111 1010 011000 1010 d1.4 100 00001 011101 0010 100010 1101 d1.5 101 00001 011101 1010 100010 1010 d2.4 100 00010 101101 0010 010010 1101 d2.5 101 00010 101101 1010 010010 1010 d3.4 100 00011 110001 1101 110001 0010 d3.5 101 00011 110001 1010 110001 1010 d4.4 100 00100 110101 0010 001010 1101 d4.5 101 00100 110101 1010 001010 1010 d5.4 100 00101 101001 1101 101001 0010 d5.5 101 00101 101001 1010 101001 1010 d6.4 100 00110 011001 1101 011001 0010 d6.5 101 00110 011001 1010 011001 1010 d7.4 100 00111 111000 1101 000111 0010 d7.5 101 00111 111000 1010 000111 1010 d8.4 100 01000 111001 0010 000110 1101 d8.5 101 01000 111001 1010 000110 1010 d9.4 100 01001 100101 1101 100101 0010 d9.5 101 01001 100101 1010 100101 1010 d10.4 100 01010 010101 1101 010101 0010 d10.5 101 01010 010101 1010 010101 1010 d11.4 100 01011 110100 1101 110100 0010 d11.5 101 01011 110100 1010 110100 1010 d12.4 100 01100 001101 1101 001101 0010 d12.5 101 01100 001101 1010 001101 1010 d13.4 100 01101 101100 1101 101100 0010 d13.5 101 01101 101100 1010 101100 1010 d14.4 100 01110 011100 1101 011100 0010 d14.5 101 01110 011100 1010 011100 1010 d15.4 100 01111 010111 0010 101000 1101 d15.5 101 01111 010111 1010 101000 1010 d16.4 100 10000 011011 0010 100100 1101 d16.5 101 10000 011011 1010 100100 1010 d17.4 100 10001 100011 1101 100011 0010 d17.5 101 10001 100011 1010 100011 1010 d18.4 100 10010 010011 1101 010011 0010 d18.5 101 10010 010011 1010 010011 1010 d19.4 100 10011 110010 1101 110010 0010 d19.5 101 10011 110010 1010 110010 1010 d20.4 100 10100 001011 1101 001011 0010 d20.5 101 10100 001011 1010 001011 1010 d21.4 100 10101 101010 1101 101010 0010 d21.5 101 10101 101010 1010 101010 1010 d22.4 100 10110 011010 1101 011010 0010 d22.5 101 10110 011010 1010 011010 1010 d23.4 100 10111 111010 0010 000101 1101 d23.5 101 10111 111010 1010 000101 1010 d24.4 100 11000 110011 0010 001100 1101 d24.5 101 11000 110011 1010 001100 1010 d25.4 100 11001 100110 1101 100110 0010 d25.5 101 11001 100110 1010 100110 1010 d26.4 100 11010 010110 1101 010110 0010 d26.5 101 11010 010110 1010 010110 1010 d27.4 100 11011 110110 0010 001001 1101 d27.5 101 11011 110110 1010 001001 1010 d28.4 100 11100 001110 1101 001110 0010 d28.5 101 11100 001110 1010 001110 1010 d29.4 100 11101 101110 0010 010001 1101 d29.5 101 11101 101110 1010 010001 1010 d30.4 100 11110 011110 0010 100001 1101 d30.5 101 11110 011110 1010 100001 1010 d31.4 100 11111 101011 0010 010100 1101 d31.5 101 11111 101011 1010 010100 1010 table 26. valid data characters (txctx[0] = 0, rxstx[2:0] = 000) (continued) data byte name bits current rd ? current rd+ data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj hgf edcba abcdei fghj abcdei fghj
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 49 of 55 d0.6 110 00000 100111 0110 011000 0110 d0.7 111 00000 100111 0001 011000 1110 d1.6 110 00001 011101 0110 100010 0110 d1.7 111 00001 011101 0001 100010 1110 d2.6 110 00010 101101 0110 010010 0110 d2.7 111 00010 101101 0001 010010 1110 d3.6 110 00011 110001 0110 110001 0110 d3.7 111 00011 110001 1110 110001 0001 d4.6 110 00100 110101 0110 001010 0110 d4.7 111 00100 110101 0001 001010 1110 d5.6 110 00101 101001 0110 101001 0110 d5.7 111 00101 101001 1110 101001 0001 d6.6 110 00110 011001 0110 011001 0110 d6.7 111 00110 011001 1110 011001 0001 d7.6 110 00111 111000 0110 000111 0110 d7.7 111 00111 111000 1110 000111 0001 d8.6 110 01000 111001 0110 000110 0110 d8.7 111 01000 111001 0001 000110 1110 d9.6 110 01001 100101 0110 100101 0110 d9.7 111 01001 100101 1110 100101 0001 d10.6 110 01010 010101 0110 010101 0110 d10.7 111 01010 010101 1110 010101 0001 d11.6 110 01011 110100 0110 110100 0110 d11.7 111 01011 110100 1110 110100 1000 d12.6 110 01100 001101 0110 001101 0110 d12.7 111 01100 001101 1110 001101 0001 d13.6 110 01101 101100 0110 101100 0110 d13.7 111 01101 101100 1110 101100 1000 d14.6 110 01110 011100 0110 011100 0110 d14.7 111 01110 011100 1110 011100 1000 d15.6 110 01111 010111 0110 101000 0110 d15.7 111 01111 010111 0001 101000 1110 d16.6 110 10000 011011 0110 100100 0110 d16.7 111 10000 011011 0001 100100 1110 d17.6 110 10001 100011 0110 100011 0110 d17.7 111 10001 100011 0111 100011 0001 d18.6 110 10010 010011 0110 010011 0110 d18.7 111 10010 010011 0111 010011 0001 d19.6 110 10011 110010 0110 110010 0110 d19.7 111 10011 110010 1110 110010 0001 d20.6 110 10100 001011 0110 001011 0110 d20.7 111 10100 001011 0111 001011 0001 d21.6 110 10101 101010 0110 101010 0110 d21.7 111 10101 101010 1110 101010 0001 d22.6 110 10110 011010 0110 011010 0110 d22.7 111 10110 011010 1110 011010 0001 d23.6 110 10111 111010 0110 000101 0110 d23.7 111 10111 111010 0001 000101 1110 d24.6 110 11000 110011 0110 001100 0110 d24.7 111 11000 110011 0001 001100 1110 d25.6 110 11001 100110 0110 100110 0110 d25.7 111 11001 100110 1110 100110 0001 d26.6 110 11010 010110 0110 010110 0110 d26.7 111 11010 010110 1110 010110 0001 d27.6 110 11011 110110 0110 001001 0110 d27.7 111 11011 110110 0001 001001 1110 d28.6 110 11100 001110 0110 001110 0110 d28.7 111 11100 001110 1110 001110 0001 d29.6 110 11101 101110 0110 010001 0110 d29.7 111 11101 101110 0001 010001 1110 d30.6 110 11110 011110 0110 100001 0110 d30.7 111 11110 011110 0001 100001 1110 d31.6 110 11111 101011 0110 010100 0110 d31.7 111 11111 101011 0001 010100 1110 table 26. valid data characters (txctx[0] = 0, rxstx[2:0] = 000) (continued) data byte name bits current rd ? current rd+ data byte name bits current rd ? current rd+ hgf edcba abcdei fghj abcdei fghj hgf edcba abcdei fghj abcdei fghj
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 50 of 55 table 27. valid special character codes and sequences (txctx = special character code or rxstx[2:0] = 001) [46, 47] s.c. code name s.c. byte name current rd ? abcdei fghj current rd+ abcdei fghj cypress alternate s.c. byte name [48] bits hgf edcba s.c. byte name [48] bits hgf edcba k28.0 c0.0 (c00) 000 00000 c28.0 (c1c) 000 11100 001111 0100 110000 1011 k28.1 [49] c1.0 (c01) 000 00001 c28.1 (c3c) 001 11100 001111 1001 110000 0110 k28.2 [49] c2.0 (c02) 000 00010 c28.2 (c5c) 010 11100 001111 0101 110000 1010 k28.3 c3.0 (c03) 000 00011 c28.3 (c7c) 011 11100 001111 0011 110000 1100 k28.4 [49] c4.0 (c04) 000 00100 c28.4 (c9c) 100 11100 001111 0010 110000 1101 k28.5 [49, 50] c5.0 (c05) 000 00101 c28.5 (cbc) 101 11100 001111 1010 110000 0101 k28.6 [49] c6.0 (c06) 000 00110 c28.6 (cdc) 110 11100 001111 0110 110000 1001 k28.7 [49, 51] c7.0 (c07) 000 00111 c28.7 (cfc) 111 11100 001111 1000 110000 0111 k23.7 c8.0 (c08) 000 01000 c23.7 (cf7) 111 10111 111010 1000 000101 0111 k27.7 c9.0 (c09) 000 01001 c27.7 (cfb) 111 11011 110110 1000 001001 0111 k29.7 c10.0 (c0a) 000 01010 c29.7 (cfd) 111 11101 101110 1000 010001 0111 k30.7 c11.0 (c0b) 000 01011 c30.7 (cfe) 111 11110 011110 1000 100001 0111 end of frame sequence eofxx [52] c2.1 (c22) 001 00010 c2.1 (c22) 001 00010 ?k28.5, dn.xxx0 +k28.5, dn.xxx1 code rule violatio n and svs tx pattern exception [51, 53] c0.7 (ce0) 111 00000 c0.7 (ce0) 111 00000 [57] 100111 1000 011000 0111 ? k28.5 [54] c1.7 (ce1) 111 00001 c1.7 (ce1) 111 00001 [57] 001111 1010 001111 1010 +k28.5 [55] c2.7 (ce2) 111 00010 c2.7 (ce2) 111 00010 [57] 110000 0101 110000 0101 running disparity violation pattern exception [56] c4.7 (ce4) 111 00100 c4.7 (ce4) 111 00100 [57] 110111 0101 001000 1010 notes 46. all codes not shown are reserved. 47. notation for special character code name is consistent wit h fibre channel and escon naming conventions. special character co de name is intended to describe binary information present on i/o pins. common usage for the name can either be in the form used for describing data p atterns (i.e., c0.0 through c31.7), or in hex notation (i.e., cnn where nn = the specified value between 00 and ff). 48. both the cypress and alternate encodings may be used for data tr ansmission to generate specific special character codes. the decoding process for received characters generates cypress codes or alternate codes as selected by the decmode configuration input. 49. these characters are used for control of escon interfaces. they can be sent as em bedded commands or other markers when not o perating using escon protocols. 50. the k28.5 character is used for framing operations by the rece iver. it is also the pad or fill character transmitted to main tain the serial link when no user data is available. 51. care must be taken when using this special character code. when a k28.7(c7.0) or svs(c0.7) is followed by a d11.x or d20.x, an alias k28.5 sync character is created. these sequences can cause erroneous framing and should be avoided while rfen = high. 52. c2.1 = transmit either ? k28.5+ or +k28.5 ? as determined by current rd and modify the transmission char acter that follows, by setting its least significant bit to 1 or 0. if current rd at the start of the following character is plus (+) the lsb is set to 0, and if current rd is minus ( ? ) the lsb becomes 1. this modification allows construction of x3.230 ?eof? frame delimiters wherei n the second data byte is determined by the current rd. for example, to send ?eofdt? the controller could issue the sequence c2.1 ? d21.4 ? d21.4 ? d21.4, and the hotlink iitransmitter will send either k28.5 ? d21.4 ? d21.4 ? d21.4 or k28.5 ? d21.5 ? d21.4 ? d21.4 based on current rd. likewise to send ?e ofdti? the controller could issue the sequence c2.1 ? d10.4 ? d21.4 ? d21.4, and the hotlink ii transmitter will send either k28.5 ? d10.4 ? d21.4 ? d21.4 or k28.5 ? d10.5 ? d21.4 ? d21.4 based on current rd. the receiver will never output this special character, since k2 8.5 is decoded as c5.0, c1.7, or c2.7, and the subsequent bytes are decoded as data. 53. c0.7 = transmit a deliberate code rule viol ation. the code chosen for this function follows the normal running disparity rul es. the receiver will only output this special character if the transmission charac ter being decoded is not found in the tables. 54. c1.7 = transmit negative k28.5 ( ? k28.5+) disregarding current rd. the receiver will only output this special character if k28.5 is received with the wrong running disparity. the receiver will output c1.7 if ? k28.5 is received with rd+, otherwise k28.5 is decoded as c5.0 or c2.7. 55. c2.7 = transmit positive k28.5 (+k28.5 ? ) disregarding current rd. the receiver will only output th is special character if k28.5 is received with the wrong running disparity. the receiver will outp ut c2.7 if +k28.5 is received with rd ? , otherwise k28.5 is decoded as c5.0 or c1.7. 56. c4.7 = transmit a deliberate code rule violation to indicate a running disparity violation. the receiver will only output th is special character if the transmission character being decoded is found in the tabl es, but running disparity does not match. this might indicate that an error occurre d in a prior byte. 57. supported only for data transmission. the receive status for t hese conditions will be reported by specific combinations of r eceive status bits.
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 51 of 55 ordering code definitions ordering information speed ordering code package name package type operating range standard CYP15G0401DXB-BGXC bj256 pb-free 256-ball thermally enhanced ball grid array commercial standard cyp15g0401dxb-bgxi bj256 pb-free 256-ball thermally enhanced ball grid array industrial standard cyv15g0401dxb-bgxc bj256 pb-free 256-ball thermally enhanced ball grid array commercial cy (p, v) 15g 0x - 0x dx full duplex 01 phy: 8b/10b endec, channel bonding 04 = number of channel speed: 1.5 gbps p = standard phy, v= video smpte phy company code: cy = cypress b silicon revision bg x (c, i) pb-free package type: bg = 256-ball bga temperature grade: c = commercial; i = industrial
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 52 of 55 package diagram acronyms the following table lists the acro nyms that are used in this document. document conventions 51-85123 *g table 28. acronyms used in this datasheet acronym description bga ball grid array bist built-in self test i/o input/output jtag joint test action group pll phase-locked loop tms test mode select tdo test data out tdi test data in table 29. units of measure acronym description c degree celsius k kilo ohm a microampere s microsecond ma milliampere ms millisecond mv millivolt na nanoampere ohm pf picofarad vvolt wwatt
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 53 of 55 document history page document title: cyp15g0401dxb cyv15g0401dxb quad hotlink ii? transceiver document number: 38-02002 revision ecn orig. of change submission date description of change ** 105840 szv 03/21/01 change from spec number: 38-00876 to 38-02002 *a 108025 amv 06/20/01 changed marketing part number *b 108437 tme 07/19/01 change marketing part num ber from cyp15g0401dx to cyp15g0401 *c 112986 tps 11/12/01 changed common mode input in formation and duty cycle of transmit clocks updated max voltage power and release under ecn control changed the wording of refclk input coupling on both inputs for lvttl clock input addition of txclko+ and the txclko+ specs changed the txclko clock output to refect the new timing changed the half clock drawing so that the viald time was at clock edges changed the input power changed the spec for the serial output levels at the different terminations changed the common mode input range of the serial input increased the serial input current u nder the conditions of vcc and min added to the duty cycle of the transmit and receiver clock signals the rise time of the serial inputs and receiver were changed the half rate timing drawing changed from not valid at clock edges to viald at clock edges added new timing line for status valid time of half clock signals max voltage reduced from 4.2 v to 3.8 v matched the common specs with the family of parts *d tps 2/26/02 changed many names from lower case to upper case changed in five places = to changed the power to typical to 2.8 w added escon, dvb-as i, smpte to features under parctl control reworded statement when high under rxle reworded and reformatted the text under bond_all added when bonding resolution is completed removed repeated information in power control section corrected statement for bonded bist *e 118650 lnm 09/30/02 changed txclko description changed txperx description changed typical power from 2.8 w to 2.9 w removed the low setting for framchar and related references changed v odif and v olc for cml output changed the i ost boundary values changed the t txclkr and t txclkf min. values changed t txds & t txdh and t trefds & t trefdh changed t refadv? and t refcdv? changed the jtag id from 0c800069 to 1c800069 *f 121906 cgx 02/12/03 changed minimum trise/tfall for cml changed trxlock changed tdj, trj changed tjtol changed ttxlock changed trxclkh, trxclkl changed ttxclkod+, ttxclkod changed power specs changed verbiage...paragraph: clock/data recovery changed verbiage...paragraph: range control added power-up requirements
cyp15g0401dxb cyv15g0401dxb document #: 38-02002 rev. *n page 54 of 55 *g 124996 pot 03/21/03 changed cyp15g0401dxb to cyp(v)15g0401dxb to abbreviate title. type corresponding to the video compliant parts reduced the lower limit of the serial si gnaling rate from 200 mbaud to 195 mbaud and changed the associated s pecifications accordingly added cypv15g0401dxb to title *h 126908 kkv 5/12/03 corrected footnote 1 implemented corrections to table format *i 128365 pds 7/23/03 revised the value of t rrefdv, t refadv+ and t refcdv+ *j 131897 pds 12/10/03 when txcksel = mid or high, txrate = high is an invalid mode. made appropriate changes to reflect this invalid condition. removed requirement of ac coupling for serial i/os for interfacing with lvpecl i/os. changed lfix to asynchronous output. expanded the cdr range controller?s permissible frequency offset between incoming serial signalling rate and reference clock from 200-ppm to 1500-ppm (changed parameter t refrx ). added table for rxstx[2:0] status for non-bonded (independent channel) mode of operation for clarity. separated the receive bist status to a new table for clarity. revised typical power numbers to match final characterization data. *k 211429 kkv see ecn minor change: package diagram disappeared from online pdf *l 338721 sua see ecn added cyw15g0401dxb part number for obsai rp3 compliance to support operating data rate upto 1540 mbaud. made changes to reflect obsai rp3 and cpr compliance. added pb-free package option for all parts listed in the datasheet. changed mbd to mbaud in spdsel pin description *m 2898393 cgx 03/24/10 removed inactive parts from the ordering information table.updated package diagram. *n 3334849 saac 08/02/11 updated template according to current cypress standards. added ordering code definitions, acronyms, and units of measure. updated package diagram spec 51-85123 to *g. document history page (continued) document title: cyp15g0401dxb cyv15g0401dxb quad hotlink ii? transceiver document number: 38-02002 revision ecn orig. of change submission date description of change
document #: 38-02002 rev. *n revised august 18, 2011 page 55 of 55 all products and company names mentioned in this document may be the trademarks of their respective holders. cyp15g0401dxb cyv15g0401dxb ? cypress semiconductor corporation, 2004-2011. the information contained herein is subject to change without notice. cypress s emiconductor corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a cypress product. nor does it convey or imply any license under patent or other rights. cypress products are not warranted nor intended to be used for medical, life support, life saving, critical control or safety applications, unless pursuant to an express written agreement wi th cypress. furthermore, cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. the inclusion of cypress products in life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies cypress against all charges. any source code (software and/or firmware) is owned by cypress semiconductor corporation (cypress) and is protected by and subj ect to worldwide patent protection (united states and foreign), united states copyright laws and internatio nal treaty provisions. cypress hereby grants to licensee a personal, non-exclusive, non-transferable license to copy, use, modify, create derivative works of, and compile the cypress source code and derivative works for the sole purpose of creating custom software and or firmware in su pport of licensee product to be used only in conjunction with a cypress integrated circuit as specified in the applicable agreement. any reproduction, modification, translation, compilation, or repre sentation of this source code except as specified above is prohibited without the express written permission of cypress. disclaimer: cypress makes no warranty of any kind, express or implied, with regard to this material, including, but not limited to, the implied warranties of merchantability and fitness for a particular purpose. cypress reserves the right to make changes without further notice to t he materials described herein. cypress does not assume any liability arising out of the application or use of any product or circuit described herein. cypress does not authori ze its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. the inclusion of cypress? prod uct in a life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies cypress against all charges. use may be limited by and subject to the applicable cypress software license agreement. sales, solutions, and legal information worldwide sales and design support cypress maintains a worldwide network of offices, solution center s, manufacturer?s representatives, and distributors. to find t he office closest to you, visit us at cypress locations . products automotive cypress.co m/go/automotive clocks & buffers cypress.com/go/clocks interface cypress. com/go/interface lighting & power control cypress.com/go/powerpsoc cypress.com/go/plc memory cypress.com/go/memory optical & image sensing cypress.com/go/image psoc cypress.com/go/psoc touch sensing cyp ress.com/go/touch usb controllers cypress.com/go/usb wireless/rf cypress.com/go/wireless psoc solutions psoc.cypress.com/solutions psoc 1 | psoc 3 | psoc 5


▲Up To Search▲   

 
Price & Availability of CYP15G0401DXB-BGXC

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X